钻石概述

跳到

概述

探索简单的设计方案

找到较小FPGA的最佳解决方案通常需要评估多个替代方案。格子钻石允许轻松探索替代解决方案,而无需诉诸于多个项目或不同修订的替代方法。钻石提供了几种独特的功能,使探索设计替代品简单:

  • bob电子竞技俱乐部支持VHDL,Verilog,EDIF,原理图和多种实现。一个格子钻石项目通常需要在其他工具中需要多个项目的工作。
  • 策略包含在实现中使用的所有工具设置。这些是完成设计所需的“食谱”,可以轻松地保存和共享。
  • 轻松添加,更改或删除整套约束,电源电压或调试访问权限。
  • 利用Synopsys Synplify Pro或Lattice Synthesis Engine(LSE)以探索实现最佳结果的其他实现选项。
  • Run Manager允许您在多核机器上并行执行实现,以更快地找到最佳解决方案。

很容易在很多方面使用

适应新工具很难。无论你喜欢如何工作,格子钻石可以适应你的风格。格子钻石提供了使常见任务更容易的工具。

  • 您可以将工具视图分离以允许您在小型监视器时专注于单个工具,或者如果您有多个监视器,请打开多个工具视图。
  • 专门设计了一些工具来简化常见的任务。ECO Editor, Programmer和Reveal只是为使个人任务更容易而定制的工具的一些例子。

为晶格设备量身定制的设计流程

bobappios下载地址使用低密度和超低密度FPGA的应用需要灵活性,验证和快速迭代的能力。格子钻石做到这一点。

  • 定时分析视图通过允许交互式更改来节省时间,并在不打扰您的设计的情况下查看结果。
  • 仿真向导提供了与模拟的简单集成,即使您不是模拟专家。
  • 格子钻石有很容易使用的GUI,但有时脚本是完成任务的最快方法。完整的TCL脚本支持有交互式帮助功能。bob电子竞技俱乐部

完整的设计环境

格子钻石包含一套完整的工具,用于实现您的设计。它包括以下区域和第三方工具的工具。

  • 设计入境
  • 合成
  • 执行
  • 分析
  • 片上调试硬件分析
  • 模拟
  • 编程
  • 部署
  • Synopsys Synplify Pro用于晶格合成
  • Aldec Active-HDL模拟

晶格钻石完整的功能列表

Lattice Diamond设计软件为成本敏感、低功耗的Lattice FPGA架构提供了最先进的设计和实现工具。Lattice Diamond是下一代ispLEVER的替代品,具有设计探索、易用性、改进的设计流程和许多额外的增强功能。新功能和增强功能的组合允许用户更快、更容易地完成设计,并获得比以往任何时候都更好的结果。Lattice Diamond软件是一个强大而完整的软件环境,从进入设计到编程您的Lattice设备。它使用经过验证的实现引擎技术,为六代工具开发。以下是Lattice Diamond软件提供的所有主要工具领域的列表:

项目管理

功能项目

晶格钻石环境

格子钻石软件环境提供了一组功能,包括以下工具。

  • 项目管理的文件列表视图
  • 控制设计实施的过程视图
  • 开始页面,可快速链接到打开项目,最近的项目,软件更新,在线帮助和格子网站
  • 报表视图,为查看所有设计报表提供集中位置,并显示来自多个实现的报表。
  • 所有输出,警告,错误和脚本控制的集中位置
  • 所有集成工具视图的菜单、图标和控件

强大的项目能力

格子钻石的设计项目通过允许更强大的项目和允许设计探索的功能来提供更大的功能。莱迪思钻石项目的关键改进包括以下内容。

  • 允许混合Verilog,VHDL,EDIF和示意图源
  • 通过实现,在单个项目中允许设计的多个版本,以便于设计探索
  • 策略允许将实现“食谱”应用到项目内的任何实现或在项目之间共享
  • 管理和选择限制,时序分析,功率计算和硬件调试的文件
  • 使用Run Manager视图允许并行处理多个实现,以探索最佳结果的设计方案。Run Manager允许您有选择地选择项目中的实现并比较结果。资源使用情况也包括在表中。而且,您还可以设置多核处理器使用多少核来管理系统上的负载。

设计入境

HDL条目(文本编辑器)

格子钻石包括一个直观的HDL文本编辑器,包括关键字突出显示:VHDL,Verilog HDL,EDIF和格子偏好语言。bob电子竞技俱乐部您还将您喜欢的编辑器设置为默认值。

原理图编辑器

原理图编辑器视图可帮助您以图形格式可视化可编程逻辑设计,使用所有设备族的HDL块或门级示范物的框图。

ipExpress.

IPExpress视图是晶格目录的界面,参考设计和知识产权(IP),全部针对晶格可编程产品进行了优化。bob体育软件IPExpress通过帮助您平滑地配置和将这些功能集成到自定义设计中,有助于加速设计流程。格子IP核心包括一些最流行的行业标准功能,如PCI总线控制器,DDR内存控制器,以太网MAC,DSP功能等等。要了解有关这些IP内核的更多信息,请单击这里

功能IPExpress

高密度脂蛋白的分析

通过新的集成HDL代码检查能力分析您的设计,通过分析设计来节省时间。随着文件列表和进程视图打开项目时,将自动打开“层次结构”视图。综合后,层次结构选项卡以资源利用率注释,以了解每个级别层次结构的元素。它也是用物理(切片)元素的帖子地图。


LDC编辑器(LSE的约束编辑器)

格子综合发动机(LSE)工具的用户现在可以在新的晶格设计约束图形编辑器中创建和编辑Synopsys Design Compiler(SDC)综合约束。此编辑器自动填充设计时钟,端口和网络名称,并提供实时语法和语义检查。它生成可与LSE一起使用的SDC文件。

LDC编辑器

平台设计师

Platform Designer是一个新工具,使您可以使用平台管理器2设备或MachXO2创建和控制完整的硬件系统,其中包含外部模拟感测和控制(ASC)。平台设计器的集成设计环境允许您配置设备,实现硬件管理算法,生成HDL,模拟,分配引脚,最终生成编程所需的JEDEC文件并在电路板上配置设备。平台设计师包含用于配置全局ASC和设备设置的单独编辑器;电流,温度和电压监视器;风扇控制器和故障记录器组件;端口和节点;和逻辑控制。

平台设计师

合成

格子综合发动机(LSE)

对于MachXO2和Machxo设备家庭,新的晶格综合发动机(LSE)可用于探索如何实现最佳效果。LSE是几年发展的结果,最初专注于格子的内部FPGA架构发展。LSE支bob电子竞技俱乐部持Verilog和VHDL语言,并使用SDC格式进行约束。It is integrated into the Lattice Diamond design software as a synthesis tool choice when a bob电子竞技俱乐部supported device family is selected.有关LSE的更多信息可用这里

LSE图

synopsys synplify pro for lattice

Lattice Diamond包括行业领先的合成解决方案,用于Lattice的Synopsys Synplify Pro,以及一系列工具和功能,帮助您管理大型设计,并提取非常适合Lattice fpga的最佳性能。Synplify Pro for Lattice还包括HDL Analyst,它会自动生成您的设计的RTL原理图,用于分析和RTL源代码交叉探测。其他高级特性包括以下内容。

  • 提取合成技术(最好)在传统工具所需的一部分中生产全局优化的设计
  • 全面的语言编译器,支持广泛的Verilog和VHDL语言构造bob电子竞技俱乐部
  • SCOPE约束编辑器,用于类似电子表格的合成、位置和路线的设计约束条目
  • 集成模块生成高性能,区域高效实现算术/数据路径功能
  • 技术独立RTL源代码的自动RAM推断
  • 具有语法检查器的集成语言敏感的HDL源代码编辑器
  • 自动注册流水线乘法器和ROM的平衡,可提高性能
  • 为每个FPGA设备家族定制的映射软件确保了目标设备和技术独立性的最佳实现
  • HDL Analyst会自动生成设计的RTL原理图,用于使用RTL源代码进行分析和交叉探测。交叉探测也可以通过Diamond生成的时序报告(twr)实现
  • 混合Verilog和VHDL支持bob电子竞技俱乐部
  • 编译点支持bob电子竞技俱乐部
  • 自动重新计时(在组合逻辑之间平衡寄存器)以提高性能
  • 自动门控时钟和生成的时钟转换,以便有效地实现为ASIC写入FPGA的RTL
synplify pro

执行

电子表格视图

ISPLEVER的设计规划器在单独的工具中并入了多个功能。这些功能现在是格子钻石中的个别视图,并与其他视图无缝工作。关键组件是电子表格视图。此视图允许输入和查看设计约束,例如引脚分配,时钟资源使用,全局首选项,定时偏好等。电子表格视图为几个其他视图提供了十字探测,并与文件列表视图一起管理,以管理多个约束文件。在其中一个设备系列中迁移到较低的成本设备时,电子表格视图可以显示不兼容的引脚。

包视图

包视图允许简单地图形分配信号到引脚。包视图还提供SSO噪声分析的图形表示,以检查由并行输出切换引起的噪声。包视图为几个其他视图提供了交叉探测,包括电子表格视图,平面图视图等。在其中一个设备系列中迁移到较低的成本设备时,包视图可以显示不兼容的引脚。

平面图视图,物理视图,网表视图,NCD视图,设备视图

Lattice Diamond为设计和设备任务提供了几个抽象概念。平面图视图提供查看和编辑位置约束的能力。物理视图提供了路径物理路由的详细只读视图,以便更详细地理解时间问题。Netlist视图提供了对设计端口、实例和网的浏览,以便将它们拖放到其他视图中,例如包视图,以设置约束。NCD视图提供对物理组件(如SLICEs、PIOs、IOLOGIC和其他元素)的详细使用信息的访问。设备视图提供了浏览特定于设备的资源和跨探针到其他视图的能力。这些视图一起提供了对分析和约束设计实现所需信息的访问。

ECO编辑器

ECO编辑器提供了快速访问常用的网表编辑功能,例如Sysio设置,PLL参数和内存初始化,而无需使用史诗等完整编辑器。信号探测能力允许用户轻松地将内部信号分配给外部引脚,以用作测试探针。

史诗

EPIC设备编辑器提供对设计的物理实现的详细访问和编辑。在PAR过程之后,可以检查或直接编辑诸如路由互连、物理元素编程和I/O缓冲区配置等物理细节,从而为您提供最终的控制权。

分析

时机分析仪视图

新的时序分析视图提供了一个易于使用的图形环境,用于导航定时信息。单击约束并立即查看定时路径,详细路径和路径原理图视图。定时分析视图还包括针对无约束路径的相同信息,加速您的时序闭合流程。简单的视觉提示,例如以红色失败的着色约束,为您的设计提供即时反馈。当更改时序约束时,定时分析视图中的一个关键新的益处是迅速更新的分析,包括时钟抖动分析。不再必须重新实现您的设计以重新运行跟踪报告。相反,更改时序约束,单击时序分析中的更新,直接运行您的分析报告。

时间视图

电力计算器

功率计算器使用高度精确的数据模型以及数据驱动功率模型来提供功率估计和计算结果,图形电源显示器和报告。热阻选项可用于模拟现实世界热条件,包括散热器,气流和板式复杂性。功率计算器的数据驱动方法为功率估计和计算提供了非常准确的结果,以便在针对低功率设计应用的特定功率预算时为您提供高度信心。bobappios下载地址功率估计也可用作独立应用程序。

片上调试硬件分析

揭示了插入者

Reveal Inserter使用以信号为中心的模型,允许方便地插入嵌入式逻辑分析仪调试硬件进行实时分析。揭示功能能够使用,多事件触发,可以在运行时动态更改。

揭示分析仪

Reveal Analyzer具有使用能力、可在运行时动态更改的多事件触发和用于显示从目标FPGA捕获的事件的集成波形。新的点阵钻石是一个更流线型的显示分析仪模块与多个光标和橡胶带测量事件在波形显示。而且,下载跟踪数据或设置复杂的触发器配置非常快。

揭示分析仪

TCL脚本支持bob电子竞技俱乐部

格子钻石软件增加了脚本设计流程的功能。除了环境中的TCL控制台选项卡外,单独的TCL控制台应用程序允许独立运行脚本。Lattice Diamond特定TCL命令词典可用于以下区域。

  • 项目管理
  • 网士主义查询
  • HDL代码检查
  • 功率计算
  • 片上调试插入
  • 片上调试分析

模拟

模拟向导

Lattice Diamond通过模拟向导提供简单的设计输出到模拟器,包括对多文件测试台的支持。bob电子竞技俱乐部模拟向导将指导您通过所有必要的步骤,使您的设计在您想要的格式模拟器。模拟向导是得到你想要的东西的简单方法。

模拟向导

Aldec Active-HDL格子版II

格子钻石包括来自Aldec的全面和功能丰富的仿真环境Active-HDL格子版II。Active-HDL晶格版II具有VHDL和Verilog的混合语言仿真,以及许多高级验证和调试功能,如语言助手,代码执行跟踪,高级断点管理和内存查看。使用具有免费许可证的格子钻石时,为Active-HDL Web Edition II启用了模拟,其中提供了许多相同的功能,容量较少。Aldec Active-HDL Lattice II和Active-HDL Web Edition II仅适用于Windows平台。

Aldec Active-HDL

编程

程序员

完全集成到钻石和独立式中,程序员允许轻松直接直接正常编程单个或多个FPGA器件。用户还可以在编程器中直接添加对自己的Sbob电子竞技俱乐部PI闪存设备的支持,允许立即支持这些设备。

部署工具

Diamond部署工具提供了一种直观的向导方法,可以按照部署方法所需的格式创建适当的设备编程文件。它提供了诸如文件转换,外部内存文件生成,改进的I2C嵌入MachXO2家族和LatticeECP3和LatticeXP2设备家族的从SPI支持。bob电子竞技俱乐部这些工具的目标是更快地完成工作。

部署向导

点阵钻石关键概念

Lattice Diamond设计软件为成本敏感、低功耗的Lattice FPGA架构提供了最先进的设计和实现工具。Lattice Diamond功能提供了显著的改进和在早期Lattice设计软件工具中没有发现的新概念。主要的新特性是扩展项目和新的时间分析流。

报告视图

改进的项目实现设计探索

格子钻石的设计项目通过允许更强大的项目和允许设计探索的功能来提供更大的功能。莱迪思钻石项目的关键改进包括以下内容:

  • 允许混合Verilog,VHDL,EDIF和示意图源
  • 通过实现,在单个项目中允许设计的多个版本,以便于设计探索
  • 策略允许将实现“食谱”应用到项目内的任何实现或在项目之间共享
  • 管理和选择限制,时序分析,功率计算和硬件调试的文件
  • 使用Run Manager视图允许并行处理多个实现,以探索最佳结果的设计方案。Run Manager允许您有选择地选择项目中的实现并比较结果。您还可以设置用于多核处理器的多核来管理系统上的负载。

实现

实现定义项目的设计结构元素。实现包含设计的结构,并且可以被认为是创建设计的源和约束。例如,一个实现可以使用推断存储器,并且另一实现可以使用实例化存储器。项目中可以有多个实现,但是一次只能有一个实现,并且必须至少有一个实现。您可以通过创建新的一个并选择现有实现的来源来复制现有实现。每当您创建新项目时会自动创建实现。实施包括:

  • 输入文件
  • 约束文件
  • 调试文件
  • 脚本文件
  • 分析文件

策略

策略是将所有与实现相关的工具设置收集到一个方便的位置。实现定义了设计中的内容,策略定义了实现工具(合成、转换、映射、par、bitgen等)将如何运行设计。一个项目中的策略在所有实现之间自动共享,它们可以保存并在多个项目中使用。以下是理解战略及其在格构钻石项目中的作用的关键事实。

  • 策略基本上是实施“食谱”。
  • 在Lattice Diamond中有四个预定义的策略加上定制的用户策略。
  • 预定义的策略不能被编辑,但是可以克隆它们,然后修改它们并保存为自定义用户策略。
  • 可以编辑自定义用户策略,克隆,设置为活动策略并删除。
  • 在一个项目中可以有许多策略,但对于每个实现来说,每次只能有一个是主动的,而且每个实现都必须有一个主动的策略。

时序分析流程

新的时序分析视图提供了一个易于使用的图形环境,用于导航定时信息。只需单击约束,请参阅定时路径,详细路径或路径示意图。简单的视觉提示,例如以红色失败的着色约束,为您的设计提供即时反馈。当更改时序约束时,定时分析视图中的一个关键新的益处是迅速更新的分析,包括时钟抖动分析。不再必须重新实现您的设计以重新运行跟踪报告。相反,更改时序约束,单击时序分析中的更新,直接运行您的分析报告。在钻石中使用定时分析的新流程如下:

  • 打开时序分析器视图,读取内存中的设计的约束
  • 将约束保存为TPF文件
  • 单击“定时分析器”视图中的“首选项”图标,仅对时间分析进行编辑约束
  • 编辑电子表格视图中的约束,然后单击“定时分析器”视图中的“更新”图标
  • 根据需要重复。完成后,通过选择电子表格视图中的首选项,将更改的约束复制回内存中的设计,并选择将TPF导出到LPF。
时间视图
与大多数网站一样,我们使用cookie和类似的技术来增强您的用户体验。我们还允许第三方在我们的网站上放置Cookie。通过继续使用本网站,您同意使用cookie,如我们所述饼干的政策