I2C主IP核

控制I2C总线接口

相关应用程序bobappios下载地址


通迅订阅

2总线I2C (Inter-Integrated Circuit)是一种简单、低带宽、短距离的协议。它经常出现在带有间歇访问的外围设备的系统中。它通常用于短距离系统,在那里的痕迹的数目应该被最小化。在I2C总线上发起传输的设备通常被称为主设备,而被寻址的设备被称为从设备。

bob投注软件Lattice半导体通用I2C主IP核提供了一种有效的控制I2C总线的方法。FPGA的可编程特性为用户提供了根据其需求配置I2C主设备的灵活性,从而允许用户定制I2C主控制器以满足其特定的设计需求。

本设计在Verilog中实现。它可以针对CrossLink-NX™FPGA设备,并使用与Synplify Pro®合成工具集成的Lattice Radiant Software Place和Route工具实现。

特性

  • bob电子竞技俱乐部支持可编程SCL频率的7位和10位寻址模式,支持总线速度:标准模式(Sm) -高达100kbit /s,快速模式(Fm) -高达400kbit /s,快速模式(Fm+) -高达1mbit /s
  • 集成上拉和故障滤波
  • 多主机系统中的仲裁丢失检测
  • 轮询和带外中断模式
  • 可选的LMMI或APB接口支持时钟拉伸bob电子竞技俱乐部

框图

文档

快速参考
标题 数量 版本 日期 格式 大小
I2C主IP核-点阵辐射软件
fpga - ipug - 02071 1.2 6/24/2020 PDF 1.3 MB

*点击“更改通知我”按钮,表示您同意接收您所选文件的更改通知。

像大多数网站一样,我们使用cookie和类似的技术来增强用户体验。我们也允许第三方在我们的网站上放置cookie。继续使用本网站,即表示您同意使用我们所述的cookie饼干的政策