isplever经典软件

为Lattice cpld和成熟可编程产品设计的环境。

isplever Classic是晶格CPLDS和成熟可编程产品的设计环境。它可用于通过设计过程完全采取格子设备设计,从概念到设备JEDEC或比特流编程文件输出。

跳到

概述

当前版本为ispLEVER经典2.1,于2020年12月30日发布。

Windows 7,Windows Vista或Windobob电子竞技俱乐部ws XP或操作系统支持ISPLEVER经典软件。

与其他Lattice FPGA家族一起设计,下载格子钻石iCEcube2软件。您可以同时安装和运行格子钻石,iceCube2和isplever经典。

下载和安装ASPLEVER CLASSIC

按照以下三个步骤下载,安装和许可证是isplever Classic。

第1步 - 下载

ispLEVER Classic由下面列出的模块组成;ispLEVER经典基础模块安装(包括Synplify合成模块和Mentor ModelSim点阵版仿真)和ispLEVER经典FPGA模块安装。

使用此页面上的Downloads选项卡下载软件安装程序。

模块 设备支持/功能bob电子竞技俱乐部 订阅许可
isplever Classic 2.1基础模块:
这包括ISPLEVER Project Navigator,以及为右侧列出的任何可编程系列来实现设计的所有工具和设备库。它还包括来自Synopsys®的Synplify™Pro Synthesis Tool(I-2014.03LC)的晶格版本,用于HDL合成的Synopsys®以及Mentor Modelsim格子版。
CPLD:
4000年ispMACH泽/ Z / V / B / C
ISPMACH 5000VG
ispMACH 5000 b
ISPMACH 4A3 / 5
MACH4/5
ispXPLD 5000 mx
isplsi 8000.
isplsi 5000ve.
isplsi 2000ve.
isplsi 1000

复选标记
复选标记
复选标记
复选标记
复选标记
复选标记
复选标记
复选标记
复选标记
复选标记
SPLD:
加和ispGAL

gdx:
ispgdxva.
ispGDX2
FPGA
ispxpga.

复选标记


复选标记
复选标记
复选标记
复选标记
isplever Classic 2.1 FPGA模块:
此可选模块为ORCA FPGA和FPSC设备增加了支bob电子竞技俱乐部持。
请注意,必须在FPGA模块之前安装基本模块。
FPGA:
虎鲸FPGA
ORCA FPSC.

复选标记
复选标记

步骤2 - 安装每个ISPLEVER CLASSIC模块

从ispLEVER Classic Base Module开始,解压下载的文件,然后双击解压后的文件,开始安装过程。您也可以从本页上的Documentation选项卡下载安装指南,并阅读它以获得更详细的说明和选项。

对于Windows 10- 下载并安装服务包启用Windows 10支持。bob电子竞技俱乐部请按照安装下载中的readme.txt文件中的安装说明进行操作。

许可

步骤3 -购买/更新ispLEVER经典许可证

isplever经典许可使用户能够为CPLD和遗留设备设计和优化解决方案。

要购买isplever经典许可证,请转到在线商店或联系本地销售代表或经销商

如果您购买了软件许可并收到了软件序列号,请访问我们的订阅许可形式

版本历史记录

ispLEVER经典2.1

  • 用Mentor®SexchIDIM®LatticeFPGA版取代了Aldec™Active-HDL™。仍然支持active-hdl格子版但不包括在内。bob电子竞技俱乐部

ispLEVER经典2.0

格子综合发动机(LSE)

  • bob电子竞技俱乐部支持Mach4000 CPLD系列的支持。默认情况下,将为综合工具选择LSE用于针对这些家庭的新项目。现有项目将继续使用此项目以前使用的综合工具。对于Mach4000 CPLD,用户可以在LSE和Synopsys Synplify Pro之间切换。

Aldec Active-HDL模拟-更新版本到10.1

软件下载及文档

快速参考
技术资源
信息资源
下载
标题 数量 版本 日期 格式 尺寸
生成一个示意图符号的OrCAD捕获
AN8075 9/1/2006 PDF. 554.9 KB
ispXPGA设备中的功率估计(电子表格文件)
此.zip包含TN1043中引用的电子表格
TN1043 5/1/2004 邮政编码 31.8 KB.
ISPXPGA设备中的功率估计
请注意,带内置公式的电子表格也可用于TN1043,可在此页面上下载。
TN1043 1/1/2004 PDF. 447.1 KB
标题 数量 版本 日期 格式 尺寸
isplever Classic 2.1安装指南
2.1 12/30/2020 PDF. 539.8 KB
标题 数量 版本 日期 格式 尺寸
abel设计手册
(isplever 4.x,5.x,6.x,classic)
3/1/2003 PDF. 606.2 KB
ABEL-HDL参考手册
(isplever 4.x,5.x,6.x,classic)
3/1/2003 PDF. 1.4 MB
LSE for ispLEVER Classic User Guide
1.0 6/16/2015 PDF. 245.7 KB
ISPLSI宏库参考手册
包含ISPLEVER中可用的原理图宏的功能和引脚说明。(isplever 4.x,5.x,6.x,classic)
8/1/2000 PDF. 3.3 MB.
FPGA物理设计规则检查(DRC)台参考
包含设计规则检查警告和错误消息的描述,您可能会遇到在ispLEVER的项目导航中运行FPGA设计。(ispLEVER 4。x, 5。x, 6。x, 7。8. x, x)
8.0 11/10/2009 PDF. 102.9 KB.
晶格FPGA器件模拟设计
本文档解释了如何使用Synopsys®VCS®、Cadence®NCVerilog®、Cadence NC-VHDL®、Aldec Riviera Pro®和Active-HDL®软件来模拟针对Lattice半导体fpga的设计。bob投注软件(ispLEVER 6。7. x, x)
6/15/2007 PDF. 111.5 KB
通用宏库参考指南
包含ISPLEVER CLASSIC中可用的原理图“通用”宏的功能和引脚说明。宏与ISPMACH 4000系列CPLD兼容。
3/5/2018 PDF. 461.7 KB
示意图参考手册
(isplever经典)
11/24/2004 PDF. 698 KB.
FPGA设计指南
包括有关如何使用isplever工具设计格子FPGA的全面说明。(isplever 8.0)
8.0 11/10/2009 PDF. 2.5 MB
标题 数量 版本 日期 格式 尺寸
PCN10A-11意图冻结ispLEVER 8.2版后的通知
转换
PCN10A-11. 1.0 2011年7月27日 PDF. 52.7 KB
标题 数量 版本 日期 格式 尺寸
Lattice OrCAD Capture Schematic Library (OLB)
此文件包含所有晶格产品的Orcad捕获原理图库(OLB文件类型)。此.zip文件还包括一个.xls工作表,其中包含OLB的内容列表。这些符号可用于帮助orcad原理图设计。
7.0 2/1/2021 邮政编码 3.2 MB.
标题 数量 版本 日期 格式 尺寸
用LeonardoSpectrum进行HDL合成设计:CPLD流
如何使用leonardospectrum来合成晶格CPLD设备的Verilog设计。教程主题/工具:逻辑综合,ispLEVER, LeonardoSpectrum。(isplever 4.x,5.x,6.x)
5/1/2005 PDF. 313 KB
使用Synplify的HDL合成设计:CPLD流
如何使用synplify来合成晶格CPLD设备的VHDL设计。教程主题/工具:逻辑综合,isplever,synplify(isplever 6.x)
5/1/2005 PDF. 446.1 KB.
使用LeonardoSpectrum的HDL合成设计:ispXPGA流程
如何使用LeonardoSpectrum合成一个Verilog设计的点阵ispXPGA设备。教程主题/工具:逻辑综合,ispLEVER, LeonardoSpectrum。(isplever 4.x,5.x,6.x)
5/1/2005 PDF. 363.7 KB
HDL合成设计具有精密RTL:CPLD流
本教程展示了如何使用Mentor Graphics Precision RTL Synthesis从ispLEVER内合成Verilog设计和生成一个EDIF文件的点阵CPLD设备。教程主题/工具:逻辑综合,ispLEVER,精度RTL
5/1/2006 PDF. 263.8 KB
HDL综合设计具有同步:ISPXPGA流程
如何使用synplify来扫描晶格ispxpga设备的VHDL设计。教程主题/工具:逻辑综合,isplever,synplify。
5/1/2005 PDF. 509.1 KB
综合数据流教程
本教程向您展示了如何使用Synplicity Synplify®Pro for Lattice与ispLEVER®合成Verilog HDL设计和生成一个EDIF文件的Lattice FPGA设备。教程主题/工具:FPGA逻辑合成,ispLEVER, Synplify。
出自于 PDF. 314.3 KB.
使用ispXPGA地板规划器
在针对ispXPGA设备的设计中,如何使用Floorplanner来定位元件,进行销钉和块分配,并检查定时延迟。教程主题/工具:Design Planning, ispLEVER, Floorplanner。(isplever 4.x,5.x,6.x,classic)
5/1/2005 PDF. 515.7 KB.
原理图和ABEL-HDL设计
如何设计,模拟,实现,并验证针对CPLD设备的计数器电路。该设计采用顶级原理图和两个下级ABEL-HDL模块。教程主题/工具:CPLD原理图和HDL设计条目,CPLD配件,isplever
5/1/2006 PDF. 2 MB.
LSE的ispLEVER经典2.0教程
1.0 6/16/2015 PDF. 372.7 KB
标题 数量 版本 日期 格式 尺寸
ispLEVER Classic 2.1 Service Pack for Windows 10
1.0 12/30/2020 邮政编码 18.1 MB
ispLEVER经典2.1 FPGA模块
2.1 12/30/2020 邮政编码 350.8 MB.
ispLEVER经典2.1基本模块
2.1 12/30/2020 邮政编码 786.8 MB
用于ispLEVER经典设备的Active-HDL仿真库
使用这些库,如果你希望使用ispLEVER Classic与Active-HDL点阵版。
10/19/2011 邮政编码 81.6 MB
PALtoGAL v3 12
将PAL JEDEC文件翻译为GAL JEDEC格式。
5/24/2001 邮政编码 35.4 KB

*单击“通知”按钮“通知”按钮,您同意接收关于所选文档的更改的通知。

与大多数网站一样,我们使用cookie和类似的技术来增强您的用户体验。我们还允许第三方在我们的网站上放置Cookie。通过继续使用本网站,您同意使用cookie,如我们所述饼干的政策