格子钻石版历史

钻石3.12

  • 新设备支持:bob电子竞技俱乐部
    • Mach-NX™50 k (LFMNX)
      • FBG484受license控制。请联系格网技术支持。bob电子竞技俱乐部
  • 更新的设备支持:bob电子竞技俱乐部
    • 交联™+ (LIFMDF)
      • 通常可提供6000个80CKFBGA
    • MachXO3D™
      • bob电子竞技俱乐部支持多达八个模拟传感和控制(ASC)设备
    • MachXO2™泽
      • 1200 36WLCSP.
      • 4000 81WLCSP
  • 工具和其他增强功能:
    • 新集成的Mentor®ModelSim®Lattice FPGA Edition模拟器取代Aldec Active-HDL™Lattice Edition模拟器。

钻石3.11 SP3

  • MachXO3LF™设备支持bob电子竞技俱乐部
    • MachXO3LF-1300, MachXO3LF-2100,和MachXO3LF-4300汽车速度等级设备。
  • MachXO3D™设备支持bob电子竞技俱乐部
    • MachXO3D-4300和MachXO3D-9400汽车速度等级设备。

钻石3.11 SP2

  • MachXO3D™家庭支持bob电子竞技俱乐部
    • MachXO3D-4300设备开启了位流功能。
    • MachXO3D-9400设备数据变为最终状态。

钻石3.11 SP1

  • CrossLinkPlus设备支持bob电子竞技俱乐部
    • 6000 UMG64 IND包通常可用

钻石3.11

  • 支持MachXO3D器件
    • 9400支持商用级和工业级
    • 4300支持商用级、工业级和汽车级
  • 支持redhat linux 6.9 / 7.4版本
  • Synplify Pro时序驱动综合

钻石3.10.3

Platform Manager 2新设备支持bob电子竞技俱乐部

  • 新型器件LPTM21L(封装较小的caBGA100相当于LPTM21器件)
  • LPTM21L可以作为一个主设备和/或从设备与独立的ASC设备作为从设备结合使用

ECP5U /嗯/ 5克家族的支持bob电子竞技俱乐部

  • ECP5UM 85K caBGA381软件包和SSO数据处于最终状态。
  • ECP5U 45K/25K/12K 256caBGA包处于FINAL状态。
  • 汽车装置定时数据处于FINAL状态。
  • 位流状态为最终状态。

交联家庭支持bob电子竞技俱乐部

  • 通用81csfBGA汽车包装。
  • 80ckfbga包处于最终状态。

新的SPI Flash支持bob电子竞技俱乐部

支持以下flash:bob电子竞技俱乐部

  • cypressspansion (S25FL064L, S25FL128L, S25FL256L, S25FL128S, SFL256S, S25FL512S, S25FS064S, S25FS128S, S25FS256S, S25FS512S)。
  • Gigadevice(GD25Q20C,GD25Q40C,GD25Q80C,GD25Q16C,GD25Q64C,GD25Q127C,GD25Q256D,GD25LQ20C,GD25LQ40C,GD25LQ80C,GD25LQ16C,GD25LQ32D,GD25LQ64C,GD25LQ128D,GD25LQ256D)。
  • Issi (is25lq020b, is25lq040b, is25lp032a, is25lp064a, is25lp128f, is25lp512m, is25wq020, is25w040, is25wp040d, is25wp080d, is25wp016d, is25wp032d, is25wp28, is25wp128f, is25wp256d, is25wp512m)。
  • 旺宏(MX25R1035F,MX25V1035F,MX25U2033E,MX25R2035F,MX25V2035F,MX25R4035F,MX25V4035F,MX25U8033F,MX25R8035F,MX25V8035F,MX25U1635F,MX25U1633F,MX25R3235F,MX25L3233F,MX25U6435F,MX25R6435F,MX25L6433F,MX25U12835F,MX25L12833F,MX25U25645G,MX25L51245G,MX25U51245G)。
  • 微米(MT25QU18、MT25QU256、MT25QU512、MT25QL128、MT25QL256、MT25QL512)。
  • W25Q32JV, W25Q32JW, W25Q64FV, W25Q64FW, W25Q64JV, W25Q64JW, W25Q64JW, w25q128jw, W25Q128JV, W25Q256FV, W25Q256JW, W25Q256JW, W25M512JV)。

钻石版本3.10 SP2

SP2的安装包含了SP1中的所有更改和特性。如果您还没有安装SP1,则没有必要这样做。您可以继续安装SP2,它包含SP1的所有特性。

  • ECP5U /嗯/ 5克家族的支持bob电子竞技俱乐部
    • 一般提供新的ECP5U 45K caBGA256封装。
      • LFE5U-45F-xBG256C/I (x =等级6,7,8)。
    • ECP5U/UM/5G 85K 285csfBGA封装处于FINAL状态。
      • 其他密度12K/25K/45K数据自SP1起已处于最终状态。
      • 所有设备均支持COM/Ibob电子竞技俱乐部ND/AUTO。(ECP5UM5G不支持AUTO。)bob电子竞技俱乐部
  • 交联家庭支持bob电子竞技俱乐部
    • 80ckfbga包通常可用。
    • 80ctfBGA现在需要许可证。
    • 正时数据处于IND/AUTO的最终状态。
    • 包和SSO数据处于最终状态:36WLCP,64uCFBGA,80CTFBGA(IND / AUTO)和81CSFBGA。
  • 新的SPI Flash支持bob电子竞技俱乐部
    支持以下flash:bob电子竞技俱乐部
    • cypress - span (S25FL128L, S25FL512S, S25FL064L, S25FL256S, S25FL256L)
    • 张明(IS25LP032D)。
    • Macronix(MX25L12845G、MX25L12835F、MX66L51235F、MX25L25635F)。
    • 微米(MT25QL128)。
    • Windbond(W25Q128JV,W25Q128FV,W25Q32JV)

钻石版本3.10 SP1

  • ECP5U12/25家庭支持bob电子竞技俱乐部
    • caBGA256软件包通常可用
    • LFE5U-12F-xBG256C/I系列(x=6,7,8级)
    • LFE5U-25F-xBG256C/I系列(x=6,7,8级)
  • 新的SPI Flash支持bob电子竞技俱乐部
    • 支持MX25L25635F、MX25L12835F、MX25L12845G三种macroix flashbob电子竞技俱乐部

钻石版本3.10

  • 交联家庭支持bob电子竞技俱乐部
    • 功率计算器改进 - 支持电源引脚共用为小包装的电压相同bob电子竞技俱乐部
    • 改进的功率数计算-功率计算器使用五阶指数功率模型
  • ECP5U /嗯/ 5克家族的支持bob电子竞技俱乐部
    • ECP5汽车设备(ECP5U 12K、ECP5UM 25K/45K)
      • 381caBGA软件包一般可用
      • 增加-7速度等级
    • 取消对ECP5UM/5G系列的IBIS_bob电子竞技俱乐部AMI支持
    • 省电改进-允许在未使用的输入或输出I/ o时禁用INBUF
  • MachXO3L /低频家庭支持bob电子竞技俱乐部
    • MachXO3L/LF 9400E caBGA256/400/484通常可用
    • 省电改进-允许在未使用的输入或输出I/ o时禁用INBUF
    • 软件包、定时、SSO和IBIS硬件数据文件的最终特征数据

钻石版本3.9

  • CrossLink家族支持- Pobob电子竞技俱乐部wer计算器的增强,为正常和睡眠模式操作提供更精确的数据
  • ECP5UM5G家庭支持bob电子竞技俱乐部
    • 软错误注入(SEI)和LatticeMico支持。bob电子竞技俱乐部
    • SERDES参数调整以获得最佳性能。
  • ECP5U /嗯家庭支持bob电子竞技俱乐部
    • ECP5U-12K plus ASC、ECP5UM-25K/45K plus ASC设备支持bob电子竞技俱乐部
    • SERDES参数调整以获得最佳性能。
  • MachXO2家族支持- Mabob电子竞技俱乐部chXO2HE设备与ASC设备一般可用。
  • MachXO3LF家庭支持bob电子竞技俱乐部
    • 通常可提供带有ASC设备的MachXO3LF封装。
    • Package、Timing、SSO和IBIS硬件数据文件处于最终状态
  • MachXO3L/LF & MachXO2 - INBUF支bob电子竞技俱乐部持-当INBUF设置为OFF时,所有未使用的PIO和已使用的输出的输入缓冲区都被禁用,以节省电源
  • 部署工具-支持ASC设备的STAPLbob电子竞技俱乐部文件生成。
  • Diamond编程器-添加到Diamond编程器的Macronix SPI闪存设备支持。bob电子竞技俱乐部
  • LatticeMico -从Diamond 3.9开始,LatticeMico安装程序将从1.0版本开始,直到LatticeMico进行更新

钻石版本3.8

  • 软件支持bob电子竞技俱乐部
    • CrossLink (LIFMD)设备,第一个可编程的ASSP接口桥接移动图像传感器和显示器,支持多种接口,如MIPI D-PHY, CSI-2, MIPI DSI/DPI, CMOS subblvds, LVDS等。bob电子竞技俱乐部
    • ECP5-5G设备系列,在小封装中支持5G Sbob电子竞技俱乐部ERDE和多达85K LUT
    • ECP5 12K器件,将当前的ECP5器件家族扩展到包括12K lut
    • MachXO3 9400设备,将当前的MachXO3设备家族扩展到包括9400 lut。
    • MachXO2 256/640 48针QFN包装
  • 软错误注入(SEI)编辑器支持MachXO2和MachXO3设备族bob电子竞技俱乐部

钻石版本3.7

  • MachXO2和MachXO3—MachXO2和MachXO3L/LF支持低电压输入和双向Ibob电子竞技俱乐部/O类型(LVCMOS10R25、LVCMOS10R33、LVCMOS12R25、LVCMOS12R33)。
  • MachXO2 QFN32封装,通用。
  • ECP5U家族支持-增加了bob电子竞技俱乐部ECP5U 12K设备支持。
  • Place and Route–PAD Report已得到增强,可添加共享系统配置引脚和专用系统配置引脚。
  • 程序员 - 将编程速度设置添加到电缆设置选项卡。
  • 安全设置工具-安全设置工具现在支持MachXO2和MachXO3L设备。bob电子竞技俱乐部
  • 软错误注入(SEI)编辑器- SEI编辑器现在支持MachXO2和MachXO3L/LF设备使用JTAbob电子竞技俱乐部G。

钻石版本3.6

更新了MachXO3L/LF设备支持bob电子竞技俱乐部

  • 允许将不同电压的I/O放入同一个I/O组。这可以使更高的引脚利用率和更灵活的板设计。
  • bob电子竞技俱乐部支持数据表的更新。+10%电压范围在3.3 V I/O。注:功能与XO2相同。
  • 900 Mbps MIPI支bob电子竞技俱乐部持。请参阅machxo3数据表。WLCSP和CSFBGA包中仅支持800 Mbbob电子竞技俱乐部ps以上。
  • 设计从LF直接迁移到L,降低用户成本。现在可以在不重新编译的情况下迁移设计,因此保留了所有的时间安排。
  • 对于L和LF变体,用于“双引导”的黄金比特流的位置可能在内部(NVCM)或外部(SPI)内存中。现在用户可以选择任何位置,尽管L变体,最有可能的位置将是内部,因为内部位置是NVCM,因此不能为许多周期可编程。

清晰设计工具(仅限ECP5)

  • bob电子竞技俱乐部支持“单模块”模式,以减少仅生成要包含在设计中的单个模块时所需的用户步骤。

SEI编辑器工具–该工具用于为系统测试操作设备创建单事件错误,现在MachXO2、MachXO3L/LF也支持该工具bob电子竞技俱乐部

网表分析工具(与点阵合成引擎(LSE)一起使用)

  • 改进易用性的各种增强功能:
    • 使用新的时钟子组轻松访问设计的时钟源
    • 通过将晶格原语上的标量信号分解为总线形式,使原理图更加清晰
    • 在查找对话框中按扇形查找高扇形网
    • bob电子竞技俱乐部在原理图中支持书签,可以轻松地重新创建视图

晶格合成引擎

  • 无论优化目标(区域、平衡或计时)的LSE工具设置如何,始终遵守定义的计时约束。

操作系bob电子竞技俱乐部统支持

  • 增加了对Wibob电子竞技俱乐部ndows 8.1的支持

Synopsys SynplifyPro综合更新:到Version J-2015.03L-SP1

Aldec有源HDL模拟无变化(10.2版)

菱形版本3.5.1

对ECP5支持的增强bob电子竞技俱乐部

  • 改进了所有使用ECP5 SERDES的Lattice IP的性能和功能。强烈推荐使用:SGMII/GbE PCS, XAUI, PCIe, PCIe Root Complex Lite, CPRI, JESD204B
  • 改进的时钟资源分配,使设计更容易适合多个时钟的设计
  • 修复Clarity工具生成的HDL上的VHDL流中的问题

强烈建议ECP5用户使用此更新。

钻石版本3.5

新的设备支持bob电子竞技俱乐部

  • 使用标准Diamond用户许可的ECP5族访问
    • ECP5U(非SERDES):免费或订阅许可证
    • ECP5UM (serdes):订阅许可

清晰设计工具(仅限ECP5)

  • Planner–启用单个端口的取消放置,而不是整个接口。

SEI编辑器工具(仅限ECP5)——这是一个新工具,用于为运行中的ECP5创建单事件错误,以进行系统测试(常规访问)

晶格合成引擎(LSE)

  • bob电子竞技俱乐部增加了对4个Diamond FPGA系列的支持。默认情况下,对于针对这些族的新项目,将为合成工具选择LSE。现有项目将继续使用该项目以前使用的综合工具。
    • LatticeECP2,LatticeECP2M
    • LatticeEcp3.
    • LatticeXP2
    • 注-家族以前支持:MachXO, MachXO2, Mbob电子竞技俱乐部achXO3L, ECP5
  • 特性增加:支持寄存器的非零初始化bob电子竞技俱乐部
  • 继续改进网络列表分析器。例如:
    • 增强了主要命令的性能:过滤,扩展,flatten
    • 从后map视图到技术视图增强交叉探头,提高精度
    • 增强“属性对话框”和“工具提示”,显示参数信息和正确的网扇出数
  • 更新的HDL属性:支持syn_encoding bob电子竞技俱乐部= " safe "

钻石编程器

  • 添加钻石部署工具十六进制转换选项
  • 设备编程更新
    • 一般访问ECP5

Synopsys SynplifyPro合成更新:版本J-2015.03L

Aldec有源HDL模拟无变化(10.1版)

3.4.1钻石版

  • 支持MachXO3LF设备的早期访问程序bob电子竞技俱乐部
  • 为了支bob电子竞技俱乐部持PB1412 I2C回读问题:向编程器添加了新的I2C恢复擦除操作,并为EFB/WB时钟的存在添加了DRC

晶格钻石3.4

  • 新的设备支持bob电子竞技俱乐部
    • bob电子竞技俱乐部支持增加了ECP5-85 U/UM设备。增加对ECP5-85-ES U/Ubob电子竞技俱乐部M设备的现有支持
    • XO3L -通用支持csfBGbob电子竞技俱乐部A封装(121,256,324引脚)。对于这些包来说,使用D3.4来获得最终的pinout信息非常重要。
    • XO2 - 7K/4K -添加caBGA400包(受控访问)。
    • XO2HC现在支持高bob电子竞技俱乐部达+10%电压。诸如Power Calculator、电子表格视图和首选项、跟踪分析等工具已经更新。
  • 清晰设计工具(仅限ECP5)
    • 生成器–原理图视图添加了拖放功能,可将可用组件、端口和接点连接到其他组件、端口和接点。请参见联机帮助主题:使用图解视图构建
    • Planner -规划选项卡现在有一个“使用情况”报告,允许您在规划任务期间监视资源使用情况。该报告在每次规划操作后更新-显示已使用的资源和总可用资源
  • SEI编辑器工具(仅限ECP5)——这是一个新工具,用于为运行中的ECP5创建单事件错误,以进行系统测试(受控访问)
  • 晶格合成引擎(LSE)
    • 更新发展的约束:
      • create_clock:可以指定在时钟周期内时钟升降边发生的时间(-波形)
      • create_generated_clock:可以指定占空比为时钟周期的百分比(-duty_cycle)。
      • 设置时钟组:可以在一组中有多个时钟
      • 设置输入延迟和设置输出延迟:可以指定延迟值为最短或最长路径(-min, -max)
      • 设置最小延迟:是一个新的约束,它指定定时路径的最小延迟。
    • 对网络列表分析器的许多改进。例如:
      • 添加post-MAP视图。这在Synplify Pro流程中不可用。
      • 开放RTL,技术和后map视图之间的交叉探测。由于Trace报告引用了很多post-MAP网络列表,用户可以通过在post-MAP视图中直观地看到时间问题,从而更深入地了解时间问题的来源。
      • 提高发现功能
    • 更新的HDL属性:支持IO配置的HDL属性(例如bob电子竞技俱乐部IO_类型、转换速率)。进一步简化了某些设计到LSE的迁移。
  • 钻石编程器
    • 为ECP5-45启用编程
  • OS支bob电子竞技俱乐部持 - 删除Windows XP和Vista的支持
  • Synopsys SynplifyPro合成更新:版本J-2014.09L
  • Aldec有源HDL模拟无变化(版本9.3sp1)

晶格钻石3.3

  • Windows 8支bob电子竞技俱乐部持
  • 格子综合发动机(LSE)扩展了对以下设备系列的支持:bob电子竞技俱乐部
    • ECP5设备
  • CSFBGA封装中的Xbob电子竞技俱乐部O3L器件的控制支持(121,256,324引脚)。针对钻石中的任何这些包装的设计有3.3版本具有某些风险,因为这些产品将来会发生变化。联系TechSupporbob电子竞技俱乐部t获取更多信息并请求访问
  • 请注意,XO3L csfBGA引脚正在重新设计。在Diamond 3.3中设计这些包装有风险,因为引脚将发生显著变化
  • IPexpress现在支持Mbob电子竞技俱乐部IPI D-PHY XO2/XO3L。IPexpress使用户能够快速创建发送或接收MIPI接口。这些接口既可以支持高速又可以支持低功率车道,也可以减少Ibob电子竞技俱乐部O,只支持高速车道。Pin/Pad报告更清楚地显示哪些IOs是为MIPI支持板设计。bob电子竞技俱乐部有关在XO2上实现MIPI D-PHY和在x3l上实现TN1280和TN1281的更多细节,请参阅technote TN1202、TN1203
  • LSE扩展了对Netlisbob电子竞技俱乐部t Analyzer的支持,Netlist Analyzer是一种工具,允许用户将其设计的预合成(RTL)和后合成版本看作原理图。这个特性允许用户在选择LSE时预览或审查他们的设计
  • LSE扩展了对合成属性(如bob电子竞技俱乐部full_case、parallel_case、syn_enum_encoding和syn_sharing)的支持
  • 清晰设计器扩展了对DDR内存的虚拟VCCIO的支持。bob电子竞技俱乐部虚拟VCCIO通过降低单点登录效果来提高接口速度。当使用Clarity Planner分配DDR内存引脚时,虚拟vccio将自动放置在每个DQS组的正确位置,以方便使用
  • Clarity Builder现在支持使bob电子竞技俱乐部用原理图工具将设计块连接在一起。
  • 钻石编程器
    • bob电子竞技俱乐部支持新的FTDI电缆(HW-USBN-2B)和电缆固件升级的能力。
    • 现在在日志文件中包括设备数据库版本
    • bob电子竞技俱乐部支持无license控制的iCE40 Ultra (iCE5LP)设备
  • 部署工具
    • bob电子竞技俱乐部支持外部内存的SPI闪存读取模式和ECP5的双引导选项
    • 增加了对51bob电子竞技俱乐部2Mb SPI闪存的支持
    • 删除ECP5四I/O SPI Flash支持外部内存bob电子竞技俱乐部
    • 在日志文件中包含设备数据库
    • bob电子竞技俱乐部支持无license控制的iCE40 Ultra (iCE5LP)设备
  • 除了调试文件文件夹中的显示插入器文件(.rvl)之外,文件列表现在还可以显示显示分析器文件(.rva)。每个rva文件都将在列表中显示与其关联的rvl文件
  • 平台设计器现在支持PMBus适配器组件。bob电子竞技俱乐部PMBus适配器,在兼容PMBus标准1.2的同时,也支持复杂的功率排序以及PMBus 1.3功能,如自动电压缩放,非常快速的故障检测等。bob电子竞技俱乐部PMBus控制器现在可以通过使用标准的PMBus命令来管理板上的所有模拟电源。设计师现在可以在不修改PMBus控制器固件的情况下通过功率排序进行迭代
  • Diamond Online帮助现在支持Chrobob电子竞技俱乐部me浏览器
  • 改进了钻石帮助的搜索功能
    • 新的工具栏同时访问索引,内容,和搜索子页面在相同的网站位置。有了这个功能,子页面的状态将被保留以方便阅读
    • 工具栏中的搜索框
    • 输入时搜索:当用户输入更快的结果时,搜索结果列表就会出现并在搜索页面中进行修改
    • 搜索结果有上下文:每个搜索结果包括主题的前几行,以帮助你决定主题是否与你的问题相关
    • 重新组织搜索结果:所有书籍的点击按相关性顺序排列,从而增加了前几个点击是最相关的可能性
    • 搜索允许使用引号,可以用于特定的字符串

晶格钻石3.2

  • 添加对所有bob电子竞技俱乐部ECP5设备的支持
  • Clarity Designer是一个新的工具,具有改进的设计进入方法,以允许创建,连接和放置系统(仅限ECP5支持)bob电子竞技俱乐部
  • 显示对ECP5bob电子竞技俱乐部 SERDES debug的支持,以获得硬件调试帮助
  • 添加对所有bob电子竞技俱乐部MachXO3L设备的支持
  • 在MachXO3bob电子竞技俱乐部L中支持GDDR x4,以实现高速接口,同时以较慢的速度运行核心频率,如MIPI D-PHY和subblvds。
  • 用于嵌入式设计开发的MachXO3L支bob电子竞技俱乐部持LatticeMico系统。
  • 在WLCSbob电子竞技俱乐部P49包中添加对MachXO2 LCMXO2-2000ZE的支持。
  • 格子综合发动机(LSE)扩展了对以下设备系列的支持:bob电子竞技俱乐部
    • MachXO3L设备
    • ECP5设备(仅限纯HDL设计)
  • 扩展后的LSE支持用于常用时间约bob电子竞技俱乐部束的概要设计约束(SDC)。这为喜欢使用基于SDC的时间约束的用户提供了方便的时间约束输入。
  • 扩展LSE以支持Synplifybob电子竞技俱乐部 Pro的各种合成属性。这允许用户将现有的基于Synplify Pro的设计迁移到LSE,而不需要重写属性。
  • 增强了自动保持校正算法。通过新算法,具有零设置时分分数的设计更有可能使其保持违规。此外,在保持校正之后,设置时分应保持为零。此功能可以通过增加可用设计的产量来帮助用户。
  • 增强了对电子表格视图中的HDL属性和编辑的处理。增强遵循以下规则:在LPF中输入的约束覆盖在HDL流中输入的约束。
  • 如果使用Synopsys Design Constraints (SDC)进行合成,它们现在可以自动转换为LPF语言,并在MAP/PAR过程中使用。为完成此任务而创建的LPF文件在Diamond中不可见,但可以从电子表格视图(SSV)中看到约束,就像从SSV中可以看到HDL属性一样。在MAP/PAR中自动使用SDC是新项目的默认行为,但在现有项目中不是。这个特性可以通过综合策略设置来启用/禁用:“在项目中使用从SDC创建的LPF”(包括LSE和Synplify)。此特性为时间限制提供了一个单一入口点,可以帮助管理必须在网上定义的首选项。
  • ECO编辑器日志信息将持续存在。这允许用户在关闭和重新打开窗口后查看日志信息。
  • 消息传递系统的改进
  • 菱形程序员增强功能
  • 部署工具的改进
  • 编程文件实用程序增强功能
  • 型号300实用功能增强
  • 平台设计的改进
    • 更新VMON表、IMON表、VID IP和I2C从机地址
    • 额外的热插拔支持bob电子竞技俱乐部
  • Synopsys Synplify Pro已更新至版本I-2013.09L-SP1-1
  • Aldec Active-HDL LE II已经更新到版本9.3

晶格钻石3.1

  • 新设备支持选择Machbob电子竞技俱乐部XO3设备(LCMXO3L-2100C caBGA256, LCMXO3L-4300C caBGA256,和LCMXO3L-6900C caBGA256)。
  • 晶格合成引擎(LSE)现在支持MachXO3设备。bob电子竞技俱乐部
  • 通过使用“另存为...”命令,现在可以克隆现有的钻石项目。因此,用户可以将钻石项目复制到其他名称。
  • 功率计算器得到了增强,可以更准确地估计时钟网络的功耗。
  • 程序员现在包括对iCE40LM设备的完全支持。bob电子竞技俱乐部
  • 程序员现在有配置选项设置。因此,用户可以选择不同的设备配置选项,而无需重新生成数据文件。
  • 编程文件实用程序现在有一个功能行编辑器。该编辑器提供数据文件的熔断器设置的可视化信息,以便用户可以轻松地编辑数据文件,以启用或禁用MachXO2设备中的硅特性。
  • 显示分析仪现在支持样品时钟作为JTAG时bob电子竞技俱乐部钟速度慢。该较低频率将采样时钟的范围增加到最小值的最小值为3倍的JTAG时钟速度。
  • Windows操作系统的USB驱动程序已通过Microsoft认证。
  • 在用户许可的情况下,Diamond现在可以通过互联网(仅)收集并发送用户遇到的警告和错误消息给Lattice。此信息使莱迪思能够改善用户体验。
  • 平台设计器有一个“缓冲XO2输出用于ASC同步”选项,它增加了一个寄存器延迟从LogiBuilder输出到MachXO2设备的引脚。这个选项允许用户选择ASC和XO2输出信号是否出现在同一个周期。
  • 平台设计器现在为平台管理器2和ASC设备提供了用户可配置的热插拔功能。
  • Synopsys Synplify Pro已更新至I-2013.09L版本
  • Aldec Active-HDL LE II已经更新到版本9.3

晶格钻石3.0

  • 平台管理器2和配套ASbob电子竞技俱乐部C设备的新设备支持。
  • 新消息系统将消息从实现引擎输出到报告视图中。现在可以对这些消息进行筛选和排序,以便用户更好地管理和理解消息。
  • 平台设计器是一种新工具,它提供了使用平台管理器2或MachXO2设备创建和控制完整硬件系统的能力。
  • 文件列表中的新选项以允许在项目中克隆实现。
  • 文件列表中现在支持系统Verilog文件,可以用于实现。bob电子竞技俱乐部在LSE、层次结构视图、HDL图、模拟向导或显示调试器中不bob电子竞技俱乐部支持系统Verilog文件。
  • 编程文件实用程序是一个新的独立工具,允许查看和比较编程文件。
  • Model 300编程器是一个新的独立工具,支持Lattice Model 300编程器硬件。bob电子竞技俱乐部
  • 揭示调试器现在支持监控电源复位(POR)bob电子竞技俱乐部函数,它立即发生在硬件上的Power-On后立即发生。
  • 除了新的消息传递系统功能外,报表视图现在还包括一个Tcl命令日志。
  • 电子表格视图现在显示分类组中的端口和接点行。
  • Run Manager现在显示有关最坏情况下的松弛和计时分数的其他信息。
  • Synopsys Synplify Pro已更新至版本H-2013.03L
  • Aldec Active-HDL LE II已经更新到9.2sp1版本。

格子钻石2.2

  • 使用csBbob电子竞技俱乐部GA184包添加对machx2 - 4000he的支持。
  • 增加对Labob电子竞技俱乐部tticeECP3-17和LatticeECP3-35汽车级(LAE3)设备的支持。
  • 在线帮助已经更新了原理图条目的内容。
  • 部署工具现在,在独立模式下使用时支持为ICbob电子竞技俱乐部E40设备生成热启动和冷启动六角端文件。
  • IpExpress包括Adder树,FFT_Butterfly,Multiply_Add_Add_Add_Add_Add_sub和Sin-Cos_table模块的更新。
  • 策略现在在Synplify Pro中包含了一个新的VHDL 2008支持选项。bob电子竞技俱乐部
  • LatticeMico系统包括LatticeMico EFB for MachXO2的新预处理器选项,用于优化代码大小。
  • 晶格合成引擎(LSE)合成工具已更新。
  • 用于晶格合成工具的Synopsys®Synplify Pro®已更新为G-2012.09L-SP1版本。
  • Aldec的Active HDL Lattice Edition II更新为9.2sp1版本。

晶格钻石2.1

  • Lattice Diamond 2.1现在作为Linux 64位应用程序提供给RHEL 4、5和6。
  • TRACE和Timing Analysis视图现在按类型对不受约束的路径进行分组。
  • TRACE和Timing Analysis视图报告BLOCK首选项覆盖的路径的详细信息。
  • 下载调试器是一种新的独立软件工具,用于调试串行向量格式(SVF)文件、标准测试和编程语言(STAPL)文件以及Lattice Embedded(VME)文件。调试器允许您对设备进行编程,并编辑、调试和跟踪SVF、STAPL和VME文件的进程。
  • 晶格钻石教程已经更新了额外的内容,现在支持ECP3 Versa开发委员会bob电子竞技俱乐部
  • 在线FPGA设计指南有一个新的章节,HDL编码指南,它提供了VHDL和Verilog设计指南,帮助您实现最佳效果。
  • 当您打开一个项目时,Hierarchy视图会自动打开。这允许自动显示设计的层次结构,防止对如何显示这些信息产生混淆。
  • IPexpress通过显示支持、不支持和不兼容版本的不同图标,显示IP模块与您正在运行的Diamond版本的兼容性。bob电子竞技俱乐部
  • LatticeMico™系统包括许多组件的更新,包括LatticeMico32微处理器和LatticeMico8微控制器。有关详细信息,请参阅所包含的文档。此外,LatticeMico™系统允许生成没有处理器的平台,并有一个新的选项,以显著提高应用程序图像的下载速度。
  • 地点和路由(PAR)报告现在包括了每个地点和路由运行的最坏松弛值。这是所有时间限制中最糟糕的时间松弛。负值表示时间违规。您可以使用这个值来代替计时得分来判断一次运行的总体计时质量。
  • 多种子PAR运行(multi-PAR)现在会自动终止单个运行,这些运行在已经完成的运行中没有显示出改进的结果。这通常会显著加速总运行时。
  • Diamond Programmer已更新了几个新功能:
    • bob电子竞技俱乐部增加了对非晶格JTAG-ISC和JTAG-STAPL设备的支持。
    • 已经添加了自定义设备数据库,允许您将非lattice JTAG设备添加到设备数据库中。这允许程序员扫描这些设备。
    • 增加了电缆信号测试功能,允许调试JTAG连接。
    • 嵌入式从SPI已更新,以支持通过FPGA进行SPI闪存编程。bob电子竞技俱乐部
  • 功率计算器增加了几个新功能
    • “功率矩阵”页面显示了设计中每个组件从多个电源提取的功率。
    • 实现比较表比较设计的多个实现之间的功耗。
    • 低功率设备的平均功率和热比较表显示了待机、满功率和关机模式下一段时间内使用的平均功率估计值。
    • 低功耗设备的功率感知比较图比较待机模式和非待机模式下使用的功率。
  • Reveal Inserter现在解析混合的Verilog和VHDL设计,并在RTL级别而不是netlist (EDIF)级别显示信号名称。
  • 模拟向导已得到改进,允许您自动将顶级信号添加到波形中,然后运行模拟。
  • 除了进程视图中的JEDEC文件生成外,现在还支持为MachXO2生成比特流文件。bob电子竞技俱乐部
  • 用于晶格合成工具的Synopsys®Synplify Pro®已更新,版本为G-2012.09L。
  • Aldec的Active HDL Lattice Edition II更新为9.2版。

晶格钻石2.0.1

  • Lattice Diamond 2.0.1移除对LatticeECPbob电子竞技俱乐部4设备的支持。
  • Diamond Programmer 2.0.1可用于配置和编程Lattice iCE40设备。所有当前iCE40产品/包均支持所有编程模式(NVCM、SRAM配置和外部闪存编程)。bob电子竞技俱乐部
  • 现在,对ispLEVER Classic和iCE设备的支持已添加到Aldec®有源HDL中™ Diamond中的Lattice Edition库。因此,如果您需要模拟针对不同软件产品(如XO、4KZE和iCE)中可用设备的多个设计,请使用Diamond 2.0.1提供的活动HDL版本。
  • 通过这次更新,Lattice Diamond改进了对LatticeECP3设计的时序模拟支持,该设计包括DDR2或DDR3内存接口bob电子竞技俱乐部。
  • 对原理图编辑器进行了改进,特别是改进了多页原理图的缩放,并帮助选择库组件。

晶格钻石2.0

  • 跟踪报告现在包括一个改进的、不受约束的路径部分,以便用户可以更快地识别和填补其设计约束中的空白。
  • 通过Diamond Programmer 2.0,用户可以直接在发布版中添加自己的SPI Flash设备,从而更快地支持这些设备。bob电子竞技俱乐部
  • Lattice Diamond Deployment Tool 2.0还提供了改进的功能,包括文件转换、外部内存文件生成、用于MachXO2设备的改进I2C嵌入式以及用于LatticeECP3和LatticeXP2设备的从SPI。
  • 单机功率估计器现在可用于所有设备(包括LatticeECP3)的功率估计。它不需要钻石安装;但它需要免费的晶格钻石许可证。
  • 修改了FPGA设计指南。软件的启动页面提供并链接了两个部分:时间关闭和设计规划。
  • 为了提供从一个版本到另一个版本的一致的工具行为,策略现在包括并保存所有的值,而不仅仅是非默认值,因为默认值可以在不同的版本之间改变。以类似的方式,当将所有电子表格首选项导出到LPF时,将显式保存默认首选项。这种新方法可以帮助避免在升级到新版本时发现的一些意外更改。
  • 默认路由器现在是基于协商的路由器(NBR)。与CDR相比,它提供了大约20%到30%的runtme改进,但是它需要更多的CPU内存。
  • 一种新的基于分区的LatticeECP3增量设计流程™ LatticeECP2/M FPGA器件将有助于在设计更改后保持设计性能并减少运行时间。
  • 除了32位应用程序的Windows XP和Vista, Lattice Diamond 2.0软件现在也作为64位应用程序提供的Windows 7,以增加内存容量,以支持更大的设备。bob电子竞技俱乐部对于Linux用户来说,除了Red Hat 5和4版本外,Lattice Diamond 2.0现在还可以运行在Red Hat 6上。
  • 用于晶格合成工具的Synopsys®Synplify Pro®已更新,版本为F-2012.03L。
  • Active-HDL Lattice Edition II从Aldec更新到9.1版本。

晶格钻石1.4.2

  • Lattice Diamond 1.4.2是对Lattice Diamond 1.4的更新。在安装此更新之前,请安装格钻1.4版本。
  • Lattice Diamond 1.4.2解决了在Lattice Diamond 1.4中发现的一个问题,其中针对LatticeECP3低功耗FPGA (-6L、-7L或-8L)的设计可能无法显示与常规LatticeECP3 FPGA(非-L或-6、-7、-8)预期相同的计时性能。
  • 通过这次更新,添加了对256 Macbob电子竞技俱乐部hXO2设备的新32QFN包的支持,并删除了对MachXO2 WLCSP-49设备的支持。
  • IPexpress工具EFB模块图形用户界面(GUI)现在有Wishbone复选框,允许用户提供对所有MachXO2设备中的嵌入式闪存的访问,而不实例化不需要的接口,如I2C和SPI
  • 对于MachXO2,在IPexpress EFB模块的“I2C配置”页签和Map报告中,UFM/Configuration I2C从地址清晰地显示出来。
  • 对于MachXO2,关键字MUX_CONFIGURATION_PORTS已添加到sysCONFIG首选项。该特性允许禁用所有Configuration端口,以提供额外的用户I/ o。它可以在Diamond的电子表格视图中设置为启用或禁用,或在逻辑首选项文件中手动设置。
  • 从LatticeXP2和LatticeECP2/M设备中删除了正常活动操作期间的软错误检测(SED)。参见PCN 02B-12对LatticeXP2, LA-LatticeXP2和latticecp2 /M数据表的修订通知。当用户逻辑处于非活动状态时,SED函数仍然可以在已编程的设备上运行。

格子钻石1.4

  • Lattice Diamond 1.4软件提供最终的时间和功率分析设备信息,以及最终的生产包,基于所有MachXO2设备的实际硅特性的比特流数据。
    • 除了稍后提供的lcmx2 - 2000u的晶圆级芯片规模封装外,所有封装都可以使用最终的同步开关输出(SSO)数据
    • 在针对LCMXO2设备的大多数设计中,结果的质量(QoR)与版本1.3所获得的相同
  • 为了更快地结束计时,用户现在可以使用新的PAR策略设置“满足计时要求后立即停止”来让多个PAR在尝试了最大数量的种子或最后一次种子运行导致计时得分为零(以先出现的为准)后停止。
  • LSE用户现在可以在新的Lattice Design constraints图形编辑器中创建和编辑Synopsys Design Compiler (SDC)合成约束。该编辑器自动填充设计时钟、端口和网络名称,并提供实时语法和语义检查。它生成一个可以与LSE一起使用的SDC文件。
  • 随此版本发布的是新的钻石部署工具。它使用直观的向导方法以用户部署方法所需的格式创建适当的设备编程文件。Diamond部署工具是一款独立的工具,可作为Lattice Diamond环境中的附件。
  • 使用Lattice Diamond 1.4,用户可以暂停、停止和恢复每个种子,任何在run Manager下运行的多par作业。他们可以将结果导出到一个CSV文件中,还可以并排比较多个实现的运行报告,从而轻松地确定他们设计的最佳实现。
  • 使用Run Manager,用户可以单独控制可以同时运行的实现和多par进程的最大数量。并行处理只支持单台具有多核CPU的计算机。bob电子竞技俱乐部目前不支持跨多台计算机的并行处理。bob电子竞技俱乐部
  • 格子钻石将帮助用户在同一设备系列内将其设计迁移到较低的成本设备,同时保留当前包装和电路板布局。现在,这种能力延伸到格子钻石软件支持的所有晶格设备系列。bob电子竞技俱乐部用户在包视图和电子表格视图中提供PIN迁移信息,例如不兼容的引脚。此引脚迁移信息也可以导出到PIN布局文件。
  • 晶格钻石1.4软件现在在层次结构视图中显示由设计层次结构的每个层次所使用的资源,要么是在合成步骤,要么是在地图步骤。因此,设备资源可以同时显示为逻辑(寄存器)和物理(片)元素。这个特性可以帮助用户快速了解他们的设计中哪些部分使用了稀缺的设备资源,以便他们能够针对目标设备优化设计。现在还可以将这些信息导出到文本或CSV文件中,以便在其他工具中进行分析。
  • 随着此次发布,引脚分配设计规则检查(DRC)引擎已被重新设计并实现,用于LatticeECP3™、MachXO2和LatticeSC™设备系列,在引脚分配和配置期间提供实时和按需的DRC,并提供用户友好的报告,有助于识别和纠正引脚使用问题。
  • 用户现在可以在电子表格视图的端口和/或Pin分配选项卡中插入无限数量的自定义列。用户可以使用这些列对每个端口或pin进行注释/记录。可以通过拖放更改列的顺序。可以将信息导出到管脚布局文件或从中导入。它不会包含在LPF文件中,也不会影响处理。
  • 在这个版本的Reveal中,令牌管理器从Reveal插入器移动到了Reveal Analyzer。这允许在不重新插入调试和重置进程列表的情况下更改令牌。但是,它不允许在运行Analyzer之前定义令牌。
  • Synopsys®SynplifyPro®for晶格综合工具已更新,版本F-2011.09L版本,于2011年9月发布。
  • Aldec的Active HDL Lattice Edition II更新为8.3SP1版。

晶格钻石1.3.1

  • Lattice Diamond 1.3.1是对Lattice Diamond 1.3的更新。在安装此更新之前,请安装Lattice Diamond的1.3版。
  • 格子钻石1.3.1为LatticeECP3 17K器件bob电子竞技俱乐部的328 CSBGA 10x10mm,0.5mm间距引线封装的支持增加了工业和商业等级。
  • 在Diamond 1.3.1中,针对以下三个问题进行了改进:
    • 在使用Lattice Diamond 1.3的某些情况下,当使用3tus并将最大时序深度触发器表达式(TE)设置为4时,波形输出不正确。
    • 在某些情况下,当使用LatticeECP3分布式DP RAM时,Reveal会出现“电路有太大的数据宽度”的错误消息。
    • Inserter GUI有时会报告“捕获未知异常”。

格子钻石1.3

  • 格子钻石1.3软件提供更新的定时和功率分析设备信息,以及基于MachXO2 LCMXO2-1200和LCMXO2-1200U器件的实际硅表征的最终生产包,比特流和SSO分析数据。
  • 这些变化,加上对合成、MAP和PAR实现引擎的持续改进,使得LCMXO2设备的大多数设计的FMax提高了5%至15%。
  • Lattice Diamond 1.3增加了对LCbob电子竞技俱乐部MXO2-2000U晶圆级封装的支持,这是非常高容量、成本敏感的应用程序所需要的。bobappios下载地址
  • Lattice Diamond 1.3软件为设计层次的每个逻辑层次提供了设备资源的利用,并使用户能够对如何构建他们的设计做出早期的设计决策,从而使他们能够优化整个设备的利用。
  • 设计师可以添加用户定义的时钟抖动到他们的设计时钟,而他们正在执行这些设计的静态计时分析。用户可以通过对现有Trace计时首选项的扩展来控制时钟抖动的数量,并在Trace报告和timing analysis View中查看分析结果。
  • 软件提供的功能有助于将设计迁移到成本较低的设备,同时保留当前的封装和电路板布局。此功能适用于MachXO2和LatticeECP3设备。用户在包视图和电子表格视图中获得不兼容的pin信息。此管脚迁移信息也可以导出到管脚布局文件中。
  • 项目现在可以支持复杂的多文件测试台bob电子竞技俱乐部,并允许对同一个设计块使用多个设计表示(例如用于合成和不同的用于模拟)。
  • 模拟向导可以自动确定应该将哪些文件设置为模拟,并将正确的选项传递给模拟程序。
  • 综合设计约束流允许对多个文件(SDC和/或LDC文件)进行类似于后端首选项文件(LPF文件)的管理。
  • 使用Reveal Analyzer,用户现在可以下载大量跟踪数据,并配置复杂的触发器设置,速度比以前快10倍以上。
  • 使用Diamond Programmer,用户可以以比ISPVM更轻松地将设备从钻石中编程到最常见的步骤,例如设置电缆,扫描电路板,直接编程设备。
  • 用户可以在运行管理器中直接选择活动的实现,还可以控制使用哪个多par运行,以便设计流程的其余部分可以集中在为该设计提供最佳布局和路由运行的实现上。
  • Lattice Diamond 1.3支bob电子竞技俱乐部持平台管理器设备
  • 用户可以管理、记录和导出有关封装引脚输出的信息,以便与PCB设计师和/或第三方工具进行早期引脚规划和引脚分配交换,以进行引脚分配签核和设计文档。用户可以直接从Diamond中获取设备的软件包pin信息

格子钻石1.2

  • MachXO2用户现在可以基于LatticeMico8生成完整的系统™ 使用基于Eclipse的开源LatticeMico版本1.2的开源8位控制器核心™ 系统。
  • Lattice Diamond 1.2增加了对所有超高I/bob电子竞技俱乐部O计数MachXO2的支持™ 设备和晶圆级封装需要非常高的容量成本敏感的应用。bobappios下载地址
  • Lattice Diamond 1.2软件现在包括基于MachXO2 PLD家族LCMXO2-1200和LCMXO2-1200U器件的实际硅特性的更新的功率、时间和SSO分析值。
  • 揭示硬件调试器已经用这些MachXO2设备的实际硅进行了验证。
  • 随着Lattice Diamond 1.2版本的发布,用户现在可以定制流程,以便在每个流程子步骤之后自动创建他们想要读取的报告。
  • Power Calculator得到了增强,因此它可以从VCD文件生成活动因子,并处理内部信号,而不仅仅是顶级端口。VCD文件需要门级,并与设计相匹配。
  • ECO编辑器现在支持MachXbob电子竞技俱乐部O2-640和更高密度设备的用户闪存(UFM)初始化。
  • EBR和分布式内存初始化特性也得到了增强,包括用于指定初始化设置的“更新初始化内存”对话框。
  • 为了简化用户界面并避免混淆,从流程视图的Place&Route阶段删除了I/O SSO(同步切换输出)分析,但是仍然可以通过电子表格视图和软件包视图进行分析。
  • 逻辑块视图现在作为单独的视图打开,具有自己的垂直工具栏。可以从Floorplan视图、Physical视图或NCD视图中的组件打开一个或多个逻辑块视图。
  • 四种预定义布局现在可以从窗口菜单中获得,用于常见的设计任务:分析RTL、输入参数、管理项目和时间分析。
  • 报告视图现在包括“生成层次结构”和“运行BKM检查”报告。这些报告使这些信息更容易找到并提供更详细的信息。以前,此信息仅在Output视图中可用。
  • IPexpress™包含了对现有模块的许多改进。
  • ispVM®系统软件已升级到18.0版本。
  • 用于晶格合成工具的Synopsys®Synplify Pro®已更新,版本为E-2010.09-SP2,于2010年12月发布。
  • Lattice Diamond 1.2版本支持Tcl 8bob电子竞技俱乐部.5。

格子钻石1.1

  • MachXO2设bob电子竞技俱乐部备家族的初始支持。
  • 介绍支持MachXO2和MachXO器件系列的晶格合成引擎(LSE)。LSE是最初专注于莱迪思bob电子竞技俱乐部内部FPGA架构开发的几年开发的结果。LSE支持Verilog和VHDL语言,并使用SDC格式进行约束。当选择受支持的设备系列时,它作为合成工具选择集成到Lattice Diamond设计软件中。
  • 在大型设计上的性能改进导致高达20%的FMax结果更快。
  • LatticeECP3系列最终数据包含在本软件版本中,用于计时、电源和SSO噪声。
  • 为LSE支持和更新编译点计时添加了策略选项。bob电子竞技俱乐部
  • 平面图视图包括一个新的“显示拥塞”命令,该命令显示了一个PLC组件或站点的路由拥塞量的表示。
  • Power Calculator包括为MachXO2低功耗架构添加的新功能,包括Power Option Controller和嵌入式功能块的EFB页面。
  • 电子表格视图电子表格视图包括块首选项的增强功能。
  • Source Editor现在提供SDC模板用于VHDL和Verilog HDL文件编辑。
  • 定时分析视图具有重大性能改进,可重新计算路径延迟和更改默认速度等级设置。
  • IPexpress™ 包含对现有模块的许多改进,还包括专门用于MachXO2的新模块。
  • ispVM®系统软件已升级到17.9版本。
  • Synopsys®Synplify Pro®for Lattice synthesis tool已经更新,包括改进了行为HDL到ECP3 sysDSP块级联功能的目标,从而实现了更高性能的过滤器。
  • Aldec®Active-HDL™晶格版模拟器已更新。

格子钻石1.0

新软件介绍。与以前的软件设计环境相比,在以下领域引入的新功能大大提高了软件的功能性和易用性。

  • 设计探索
    • Diamond中的设计项目通过允许更健壮的项目和允许设计探索的功能,提供了数量级的增强功能。钻石项目的主要改进包括以下方面。
      • 允许Verilog、VHDL、EDIF和原理图源的混合
      • 实现时,允许在单个项目中使用设计的多个版本
      • 策略允许将实现“食谱”应用于项目内的任何实现或项目之间的共享
      • 管理和选择约束、时间分析、功耗计算和硬件调试的文件
    • 使用Run Manager视图允许并行处理多个实现,以探索最佳结果的设计方案。
    • 通过新的集成HDL代码检查能力分析您的设计,通过分析设计来节省时间。
  • 易用性贯穿始终
    • Diamond用户界面结合了领先的特点和定制,同时提供更好的易用性。钻石中的所有工具现在在“视图”中打开,集成到一个共同的钻石用户界面,并有能力分离在单独的窗口。一旦掌握了单个工具视图的操作,这些知识就可以应用到其他视图。像开始页和报告视图这样的新功能允许方便地访问信息。
    • ECO Editor提供对常用网表编辑功能的快速访问,如系统IO设置、PLL参数和内存初始化。一旦使用ispVM设置了硬件配置,编程器允许快速重新编程FPGA。这些工具的目标是更快地完成工作
  • 更有效的设计流程
    • 新的计时分析视图为导航计时信息提供了一个易于使用的图形环境。时序分析视图的一个重要的新优点是当时序约束改变时,快速更新分析。您不再需要重新实现您的设计来重新运行TRACE报告。
    • Diamond通过新的模拟向导提供了简单的设计输出到模拟器。
    • Diamond软件增加了编写设计流程脚本的新功能。Diamond特定的TCL命令字典可用于项目、netlist、HDL代码检查、功耗计算和硬件调试插入和分析。
与大多数网站一样,我们使用cookie和类似技术来增强您的用户体验。我们还允许第三方在我们的网站上放置cookie。继续使用本网站即表示您同意使用本网站中所述的cookiesCookie策略