格子钻石版历史

钻石3.12.

  • 新设备支持:bob电子竞技俱乐部
    • Mach-NX™50 k (LFMNX)
      • FBG484受license控制。请联系格网技术支持。bob电子竞技俱乐部
  • 更新的设备支持:bob电子竞技俱乐部
    • 交联™+ (LIFMDF)
      • 6000 80CKFBGA一般可
    • machxo3d™
      • bob电子竞技俱乐部支持多达八个模拟感测和控制(ASC)设备
    • MachXO2™泽
      • 1200 36WLCSP.
      • 4000 81WLCSP.
  • 工具和其他增强功能:
    • 新集成的Mentor®SexchingIm®LatticeFPGA版模拟器替换Aldec Active-HDL™格子版模拟器。

钻石3.11 sp3.

  • MachXO3LF™设备支持bob电子竞技俱乐部
    • MachXO3LF-1300, MachXO3LF-2100,和MachXO3LF-4300汽车速度等级设备。
  • MachXO3D™设备支持bob电子竞技俱乐部
    • MachXO3D-4300和MachXO3D-9400汽车速度等级设备。

钻石3.11 sp2.

  • MachXO3D™系列支持bob电子竞技俱乐部
    • MachXO3D-4300设备开启了位流功能。
    • MachXO3D-9400设备数据变为最终状态。

钻石3.11 SP1

  • CrossLinkPlus设备支持bob电子竞技俱乐部
    • 6000 UMG64 IND包通常可用

钻石3.11.

  • MachXO3D设备支持bob电子竞技俱乐部
    • 9400 COM/IND一般可用
    • 4300 COM/IND/AUTO一般可用
  • Redhat Linux版本6.9 / 7.4支持bob电子竞技俱乐部
  • Synplify Pro时序驱动合成

钻石3.10.3

プラットフォームマネジャ2新闻デバイスサポート

  • 新しいデバイスLPTM21L(LPTM21デバイスデバイスと同等小型パッケージCABGA100)
  • LPTM21Lは,スレーブデバイスとしてのスタンドアロンASCデバイスと組み合わせて,マスターおよび/またはスレーブデバイスとして使用できます。

ECP5U /嗯/ 5 gファミリサポート

  • ECP5UM 85K CABGA381パッケージパッケージSSOデータは最终ステータス内にあります
  • ECP5U 45K / 25K / 12K 256CABGAパッケージは最终ステータス内にあります
  • 車載デバイスタイミングデータは最终ステータス内にあります
  • ビットストリームステータスは最终ステータス内にあります

交叉链接ファミリサポート

  • 81csfBGA车载パッケージは通常,利用可能
  • 80ckfBGAパッケージはFINALステータス内にあります

新闻SPI Flashサポート

以下の闪存がサポートされています

  • cypressspansion (S25FL064L, S25FL128L, S25FL256L, S25FL128S, SFL256S, S25FL512S, S25FS064S, S25FS128S, S25FS256S, S25FS512S)。
  • Gigadevice(GD25Q20C,GD25Q40C,GD25Q80C,GD25Q16C,GD25Q64C,GD25Q127C,GD25Q256D,GD25LQ20C,GD25LQ40C,GD25LQ80C,GD25LQ16C,GD25LQ32D,GD25LQ64C,GD25LQ128D,GD25LQ256D)。
  • Issi (is25lq020b, is25lq040b, is25lp032a, is25lp064a, is25lp128f, is25lp512m, is25wq020, is25w040, is25wp040d, is25wp080d, is25wp016d, is25wp032d, is25wp28, is25wp128f, is25wp256d, is25wp512m)。
  • 旺宏(MX25R1035F,MX25V1035F,MX25U2033E,MX25R2035F,MX25V2035F,MX25R4035F,MX25V4035F,MX25U8033F,MX25R8035F,MX25V8035F,MX25U1635F,MX25U1633F,MX25R3235F,MX25L3233F,MX25U6435F,MX25R6435F,MX25L6433F,MX25U12835F,MX25L12833F,MX25U25645G,MX25L51245G,MX25U51245G)。
  • 微米(MT25QU18,MT25QU256,MT25QU512,MT25QL128,MT25QL256,MT25QL512)。
  • Windbond(W25X10CL,W25X20CL,W25Q20CL,W25X40CL,W25Q40CL,W25Q80DV,W25Q16JV,W25Q16FW,W25Q32FV,W25Q32FW),W25Q32JV,W25Q32JW,W25Q64FV,W25Q64FW,W25Q64JV,W25Q64JW,W25Q128FV,W25Q128FW,W25Q128JV,W25Q256FV,W25Q256JV,W25Q256JW,W25M512JV)。

钻石バージョン3.10 SP2

SP2のインストールはSPIの全ての変更・機能が含まれます。すでにSPIをインストールする場合,その必要はありません。すべてのSP1機能を含むSP2をインストールすることで完了します。

  • ECP5U /嗯/ 5 gファミリサポート
    • 新闻ECP5U 45K CABGA256パッケージパッケージ利用可致
      • ファミリlfe5u-45f-xbg256c / i(x =グレード6,7,8)。
    • ECP5U /嗯/ 285 g 85 k csfbgaパッケージは最终ステータスにあります
      • 他の密度,12k / 25k / 45kデータデータsp1としてすでに最终ステータスステータスあります。
      • すべてのデバイスはCOM/IND/AUTOに対応しています。(ただし,ECP5UM5Gは汽车に対応していません)
  • 交叉链接ファミリサポートF.
    • 80年ckfbgaパッケージが利用可能
    • 80年ctfbgaは今ライセンスが必要です
    • 印第安纳州/汽车のタイミングデータは最终ステータスです
    • 64年36 wlcp ucfbga 80 ctfbga(印第安纳州/汽车)と81 csfbga用のパッケージとSSOデータは最终ステータスにあります
  • 新闻SPI Flashサポート
    次のFlashに対応しています:
    • cypress - span (S25FL128L, S25FL512S, S25FL064L, S25FL256S, S25FL256L)
    • 张明(IS25LP032D)。
    • MACRONIX(MX25L12845G,MX25L12835F,MX66L51235F,MX25L25635F)。
    • 微米(MT25Q1128)。
    • Windbond(W25Q128JV,W25Q128FV,W25Q32JV)

钻石バージョン3.10 SP1

  • ECP5U12/25ファミリサポート
    • CABGA256パッケージが利用可能
    • ファミリLFE5U-12F-xBG256C / I(X =グレード6,7,8)
    • ファミリlfe5u-25f-xbg256c / i(x =グレード6,7,8)
  • 新SPI Flashサポート
    • 3つの旺宏闪存(MX25L25635F,MX25L12835F,MX25L12845G)も対応しています

钻石版本3.10.

  • 交叉链接家庭支持bob电子竞技俱乐部
    • 功率计算器改进 - 支持电源引脚共用为小包装的电压相同bob电子竞技俱乐部
    • 改进的电源数计算 - 功率计算器使用第五阶指数功率模型
  • ECP5U / UM / 5G家庭支持bob电子竞技俱乐部
    • ECP5汽车设备(ECP5U 12K,ECP5UM 25K / 45K)
      • 381caBGA软件包一般可用
      • 增加-7速度等级
    • 删除IBIS_AMI对ECP5UM / bob电子竞技俱乐部5G系列的支持
    • 省电改进-允许在未使用的输入或输出I/ o时禁用INBUF
  • MachXO3L /低频家庭支持bob电子竞技俱乐部
    • MachXO3L / LF 9400E CABGA256 / 400/484通常提供
    • 省电改进-允许在未使用的输入或输出I/ o时禁用INBUF
    • 软件包、定时、SSO和IBIS硬件数据文件的最终特征数据

钻石版3.9

  • 交叉链接家庭支持 - 对于正常和睡bob电子竞技俱乐部眠模式操作的更精确数据,电源计算器增强
  • ECP5UM5G家庭支持bob电子竞技俱乐部
    • 软错误注入(SEI)和LatticeMico支持。bob电子竞技俱乐部
    • SERDES参数调整为最佳性能。
  • ECP5U / UM家庭支持bob电子竞技俱乐部
    • ECP5U-12K加ASC,ECP5UM-25K / 45K加上ASC设备支持bob电子竞技俱乐部
    • SERDES参数调整为最佳性能。
  • MachXO2家族支持- Mabob电子竞技俱乐部chXO2HE设备与ASC设备一般可用。
  • Machxo3LF家庭支持bob电子竞技俱乐部
    • 具有ASC设备的MachXO3LF封装通常可用。
    • Package、Timing、SSO和IBIS硬件数据文件处于最终状态
  • MachXO3L/LF & MachXO2 - INBUF支bob电子竞技俱乐部持-当INBUF设置为OFF时,所有未使用的PIO和已使用的输出的输入缓冲区都被禁用,以节省电源
  • 部署工具-支持ASC设备的STAPLbob电子竞技俱乐部文件生成。
  • Diamond Programmer - Macronix SPI Flash设备支持添加bob电子竞技俱乐部到Diamond Programmer。
  • Latticemico - 从钻石开始3.9,Latticemico安装程序将从1.0版开始,留下更新到Latticemico

钻石版本3.8

  • 软件支持bob电子竞技俱乐部
    • CrossLink (LIFMD)设备,第一个可编程的ASSP接口桥接移动图像传感器和显示器,支持多种接口,如MIPI D-PHY, CSI-2, MIPI DSI/DPI, CMOS subblvds, LVDS等。bob电子竞技俱乐部
    • ECP5-5G设备系列,支持5G Serdesbob电子竞技俱乐部,最多85k LUT在小包装中
    • ECP5 12K器件,将当前的ECP5器件家族扩展到包括12K lut
    • MachXO3 9400设备,将当前的MachXO3设备家族扩展到包括9400 lut。
    • MachXO2 256/640 48引脚QFN封装
  • 软错误注入(SEI)编辑器支持MachXO2和MachXO3设备族bob电子竞技俱乐部

钻石版3.7

  • MachXO2和MachXO3—MachXO2和MachXO3L/LF支持低电压输入和双向Ibob电子竞技俱乐部/O类型(LVCMOS10R25、LVCMOS10R33、LVCMOS12R25、LVCMOS12R33)。
  • MachXO2 QFN32封装,通用。
  • ECP5U系列支持 - 已bob电子竞技俱乐部添加ECP5U 12K设备支持。
  • Place和Route - Pad报告已得到增强,可添加共享的Sysconfig引脚和专用Sysconfig引脚。
  • 程序员 - 将编程速度设置添加到电缆设置选项卡。
  • 安全设置工具-安全设置工具现在支持MachXO2和MachXO3L设备。bob电子竞技俱乐部
  • 软错误注入(SEI)编辑器 - SEI编辑器现在支持使用JTAG的MachXO2和MachXO3L bob电子竞技俱乐部/ LF设备。

钻石版3.6

更新了MachXO3L/LF设备支持bob电子竞技俱乐部

  • 允许将不同电压的I/O放入同一个I/O组。这可以使更高的引脚利用率和更灵活的板设计。
  • bob电子竞技俱乐部支持数据表的更新。+10%电压范围在3.3 V I/O。注:功能与XO2相同。
  • 900 Mbps MIPI支bob电子竞技俱乐部持。请参阅machxo3数据表。WLCSP和CSFBGA包中仅支持800 Mbbob电子竞技俱乐部ps以上。
  • 设计从LF直接迁移到L,降低用户成本。现在可以在不重新编译的情况下迁移设计,因此保留了所有的时间安排。
  • 使用L和LF变体,“双启动”的金色比特流的位置可能位于内部(NVCM)或外部(SPI)内存中。现在,用户可以选择在任一位置之间进行选择,尽管使用l变量,所以最可能的位置将是内部位置为nvcm的内部,因此对于多个周期而不是可编程的。

清晰度设计师工具(仅限ECP5)

  • bob电子竞技俱乐部支持“单模块”模式以减少仅在设计中包含单个模块时所需的用户步骤。

SEI编辑器工具 - 此工具用于为系统测试的操作设备创建单个事件错误,现在也支持MachXO2,MachXO3L / LFbob电子竞技俱乐部

网表分析仪工具(与晶格合成引擎(LSE)一起使用)

  • 各种增强功能,以提高易用性:
    • 轻松访问设计的时钟源,使用新子组进行时钟
    • 通过将晶格原语上的标量信号分解为总线形式,使原理图更加清晰
    • 在查找对话框中按扇形查找高扇形网
    • bob电子竞技俱乐部在原理图中支持书签,可以轻松地重新创建视图

莱迪思综合引擎

  • 始终授予定义的时序约束,无论优化目标的LSE工具设置如何(区域,平衡或时序)。

OS支bob电子竞技俱乐部持

  • 添加了对Wibob电子竞技俱乐部ndows 8.1的支持

Synopsys SynplifyPro综合更新:到Version J-2015.03L-SP1

Aldec公司的Active-HDL仿真没有变化(10.2版)

钻石版3.5.1

对ECP5支持的增强bob电子竞技俱乐部

  • 改进了所有使用ECP5 SERDES的Lattice IP的性能和功能。强烈推荐使用:SGMII/GbE PCS, XAUI, PCIe, PCIe Root Complex Lite, CPRI, JESD204B
  • 改进的时钟资源分配使得能够更轻松地设计具有多个时钟的设计
  • 修复了Clarity工具生成的HDL上的VHDL流中的问题

ECP5用户强烈推荐此更新。

钻石版3.5

新设备支持bob电子竞技俱乐部

  • 使用标准Diamond用户许可的ECP5族访问
    • ECP5U(非SERDES):免费或订阅许可证
    • ECP5UM (serdes):订阅许可

清晰度设计师工具(仅限ECP5)

  • 规划师 - 启用单独的端口,而不是整个界面的未安置。

SEI编辑器工具(仅限ECP5) - 这是一个用于为操作ECP5创建单个事件错误进行系统测试的新工具(常规访问)

晶格合成引擎(LSE)

  • bob电子竞技俱乐部支持为4个钻石FPGA系列添加。默认情况下,将为综合工具选择LSE用于针对这些家庭的新项目。现有项目将继续使用此项目以前使用的综合工具。
    • 的LatticeECP2,LatticeECP2M的
    • LatticeEcp3.
    • LatticeXP2
    • 注 - 以前支持的家庭:Machxo,Machxo2,bob电子竞技俱乐部MachXO3L,ECP5
  • 功能添加:支持注册的非零初始化bob电子竞技俱乐部
  • 对网络列表分析器的持续改进。例如:
    • 增强了主要命令的性能:过滤,扩展,flatten
    • 从地图后查看到技术视图,提高准确性,增强交叉探针
    • 增强“属性对话框”和“工具提示”,显示参数信息和正确的网扇出数
  • 更新了HDL属性:Syn_Encoding =“bob电子竞技俱乐部安全”的支持

钻石编程器

  • 添加菱形部署工具十六进制转换选项
  • 更新设备编程
    • 一般访问ECP5

Synopsys SynplifyPro综合更新:到Version J-2015.03L

ALDEC ASTIVE-HDL仿真无变化(版本10.1)

3.4.1钻石版

  • 支持MachXO3LF设备的早期访问程序bob电子竞技俱乐部
  • 支持Pbob电子竞技俱乐部B1412 I2C回读问题:为程序员添加了新的I2C恢复擦除操作,以及DRC的存在EFB / WB时钟

晶格钻石3.4

  • 新设备支持bob电子竞技俱乐部
    • bob电子竞技俱乐部支持为ECP5-85 U / UM设备添加。添加了ECP5-85-ES U /bob电子竞技俱乐部 UM设备的现有支持
    • XO3L -通用支持csfBGbob电子竞技俱乐部A封装(121,256,324引脚)。对于这些包来说,使用D3.4来获得最终的pinout信息非常重要。
    • XO2 - 7K / 4K - 添加CABGA400包装(受控访问)。
    • XO2HC现在支持高bob电子竞技俱乐部达+10%电压。诸如Power Calculator、电子表格视图和首选项、跟踪分析等工具已经更新。
  • 清晰度设计师工具(仅限ECP5)
    • 构建器 - 原理图已添加拖放功能,以将可用组件,端口和引脚连接到其他组件,端口和引脚。请参阅在线帮助主题:构建示意图
    • Planner -规划选项卡现在有一个“使用情况”报告,允许您在规划任务期间监视资源使用情况。该报告在每次规划操作后更新-显示已使用的资源和总可用资源
  • SEI编辑器工具(仅限ECP5) - 这是一个用于为系统测试的操作ECP5创建单个事件错误的新工具(受控访问)
  • 晶格合成引擎(LSE)
    • 更新发展的约束:
      • create_clock:可以指定时钟的上升和下降边缘在时钟周期(-waveForm)期间发生
      • create_generated_clock.:可以将占空比指定为时钟周期的百分比(-duty_cycle)
      • set_clock_groups:可以在组中有多个时钟
      • set_input_delay和set_output_delay.:可以指定延迟值是指最短或最长的路径(-min,-max)
      • set_min_delay:是一个新的约束,它指定定时路径的最小延迟。
    • 许多改进的网表分析器。例如:
      • 添加post-MAP视图。这在Synplify Pro流程中不可用。
      • 开放式RTL,技术和地图视图之间的交叉探测。随着跟踪报告使许多引用映射后网列表,用户可以通过在映射后视图中看到它们来获得定时问题的源头。
      • 改进了解功能
    • 更新了HDL属性:支持IO配置的HDL属性(例如bob电子竞技俱乐部,io_type,卷曲)。进一步缓解对LSE的一些设计的迁移。
  • 钻石编程器
    • 为ECP5-45启用编程
  • OS支bob电子竞技俱乐部持 - 删除Windows XP和Vista的支持
  • Synopsys SynplifyPro合成更新:版本J-2014.09L
  • ALDEC ASTIVE-HDL仿真无变化(版本9.3SP1)

晶格钻石3.3

  • Windows 8支bob电子竞技俱乐部持
  • 格子综合发动机(LSE)扩展了对以下设备系列的支持:bob电子竞技俱乐部
    • ECP5设备
  • CSFBGA封装中的Xbob电子竞技俱乐部O3L器件的控制支持(121,256,324引脚)。针对钻石中的任何这些包装的设计有3.3版本具有某些风险,因为这些产品将来会发生变化。联系TechSupporbob电子竞技俱乐部t获取更多信息并请求访问
  • 请注意,XO3L CSFBGA引脚正在重新设计。在钻石中设计到这些包装3.3具有风险,因为引脚放电会显着变化
  • IPExpress现在支持Xbob电子竞技俱乐部O2 / XO3L的MIPI D-PHY。IPExpress使用户能够快速创建发送或接收MIPI接口。这些接口可以支持高速和低功率通道,或减少IO,仅支持高速bob电子竞技俱乐部通道。PIN / PAD报告更清楚地显示了MIPI支持董事会设计的iOS。bob电子竞技俱乐部有关在XO3L上实现MIPI D-PHY的更多详细信息,请参阅TN1202,TN1203,了解XO2和TN1280&TN1281的MIPI D-PHY
  • LSE扩展了对Netlisbob电子竞技俱乐部t Analyzer的支持,Netlist Analyzer是一种工具,允许用户将其设计的预合成(RTL)和后合成版本看作原理图。这个特性允许用户在选择LSE时预览或审查他们的设计
  • LSE扩展对合成属性(如Fbob电子竞技俱乐部ull_Case,Parally_case,Syn_Enum_encoding和Syn_Sharing)的支持
  • 清晰设计器扩展了对DDR内存的虚拟VCCIO的支持。bob电子竞技俱乐部虚拟VCCIO通过降低单点登录效果来提高接口速度。当使用Clarity Planner分配DDR内存引脚时,虚拟vccio将自动放置在每个DQS组的正确位置,以方便使用
  • Clarity Builder现在支持使bob电子竞技俱乐部用原理图工具将设计块连接在一起。
  • 钻石编程器
    • bob电子竞技俱乐部支持新的FTDI电缆(HW-USBN-2B)和升级电缆固件的能力。
    • 现在包括日志文件中的设备数据库版本
    • bob电子竞技俱乐部支持无license控制的iCE40 Ultra (iCE5LP)设备
  • 部署工具
    • bob电子竞技俱乐部支持外部存储器的SPI闪存读取模式和ECP5的双启动选项
    • 添加了512bob电子竞技俱乐部MB SPI闪光的支持
    • 删除了外部内存的ECP5 Quad I / O SPI闪存支持bob电子竞技俱乐部
    • 在日志文件中包含设备数据库
    • bob电子竞技俱乐部支持无license控制的iCE40 Ultra (iCE5LP)设备
  • 除了在调试文件文件夹中显示Inserter文件(.rvl),此外,文件列表现在可以显示“显示分析器文件(.rva”。每个RVA文件都将显示它在列表中关联的RVL文件
  • 平台设计器现在支持PMBus适配器组件。bob电子竞技俱乐部PMBus适配器,在兼容PMBus标准1.2的同时,也支持复杂的功率排序以及PMBus 1.3功能,如自动电压缩放,非常快速的故障检测等。bob电子竞技俱乐部PMBus控制器现在可以通过使用标准的PMBus命令来管理板上的所有模拟电源。设计师现在可以在不修改PMBus控制器固件的情况下通过功率排序进行迭代
  • Diamond Online帮助现在支持Chrobob电子竞技俱乐部me浏览器
  • 改进了钻石帮助的搜索功能
    • 新的工具栏同时访问索引,内容,和搜索子页面在相同的网站位置。有了这个功能,子页面的状态将被保留以方便阅读
    • 工具栏中的搜索框
    • 键入时搜索:显示命中列表并在“搜索”页面中修改,而用户则键入更快的结果
    • 搜索结果有上下文:每个搜索结果包括主题的前几行,以帮助你决定主题是否与你的问题相关
    • 重新组织搜索结果:所有书籍的点击按相关性顺序排列,从而增加了前几个点击是最相关的可能性
    • 搜索允许使用引号,可以用于特定的字符串

晶格钻石3.2

  • 为所有ECbob电子竞技俱乐部P5设备添加支持
  • Clarity Designer是一个新的工具,具有改进的设计进入方法,以允许创建,连接和放置系统(仅限ECP5支持)bob电子竞技俱乐部
  • 揭示对硬件调试bob电子竞技俱乐部援助的ECP5 Serdes调试的支持
  • 为所有Mabob电子竞技俱乐部chXO3L设备添加支持
  • 在MachXO3bob电子竞技俱乐部L中支持GDDR x4,以实现高速接口,同时以较慢的速度运行核心频率,如MIPI D-PHY和subblvds。
  • Latticemico系统支持Machbob电子竞技俱乐部XO3L嵌入式设计开发。
  • 在WLCSbob电子竞技俱乐部P49包中添加对MachXO2 LCMXO2-2000ZE的支持。
  • 格子综合发动机(LSE)扩展了对以下设备系列的支持:bob电子竞技俱乐部
    • MachXO3L设备
    • ECP5设备(仅限纯HDL设计)
  • LSE扩展以支持常用时序约束的概bob电子竞技俱乐部要设计约束(SDC)。这促进了优选使用基于SDC的时序约束的用户的时序约束条目。
  • 扩展LSE以支持Synplifybob电子竞技俱乐部 Pro的各种合成属性。这允许用户将现有的基于Synplify Pro的设计迁移到LSE,而不需要重写属性。
  • 增强了自动保持校正算法。通过新算法,具有零设置时分分数的设计更有可能使其保持违规。此外,在保持校正之后,设置时分应保持为零。此功能可以通过增加可用设计的产量来帮助用户。
  • 增强了对电子表格视图中的HDL属性和编辑的处理。增强遵循以下规则:在LPF中输入的约束覆盖在HDL流中输入的约束。
  • 如果Synopsys设计约束(SDC)用于合成,它们现在可以自动转换为LPF语言并在地图/ PAR过程中使用。创建的LPF文件从菱形不可见,但可以从电子表格视图(SSV)从SSV看到超出电子表格视图(SSV)的约束。在Map / PAR中自动使用SDC是新项目中的默认行为,但不在现有项目中。通过综合策略设置启用/禁用此功能:“使用从项目中的SDC创建的LPF”(LSE和Synplify)。此功能为定时约束提供单个入口点,可以帮助管理必须在网上定义的首选项。
  • ECO编辑器日志信息将持续存在。这允许用户在关闭和重新打开窗口后查看日志信息。
  • 消息传递系统增强
  • 钻石程序员增强
  • 部署工具的改进
  • 编程文件实用程序增强
  • 模型300实用程序增强功能
  • 平台设计师增强功能
    • 更新VMON表,IMON表,VID IP和I2C从地址
    • 额外的热插拔支持bob电子竞技俱乐部
  • Synopsys Synplify Pro已更新为版本I-2013.09L-SP1-1
  • Aldec Active-HDL LE II已经更新到版本9.3

晶格钻石3.1

  • 新设备支持选择Machbob电子竞技俱乐部XO3设备(LCMXO3L-2100C caBGA256, LCMXO3L-4300C caBGA256,和LCMXO3L-6900C caBGA256)。
  • 格子综合发动机(LSE)现在支持MachXO3设备。bob电子竞技俱乐部
  • 通过使用“另存为...”命令,现在可以克隆现有的钻石项目。因此,用户可以将钻石项目复制到其他名称。
  • 功率计算器得到了增强,可以更准确地估计时钟网络的功耗。
  • 程序员现在包括对ICE40LM设备的全部支持。bob电子竞技俱乐部
  • 程序员现在有配置选项设置。因此,用户可以选择不同的设备配置选项,而不必重新生成数据文件。
  • 编程文件实用程序现在有一个功能行编辑器。该编辑器提供数据文件的熔断器设置的可视化信息,以便用户可以轻松地编辑数据文件,以启用或禁用MachXO2设备中的硅特性。
  • 显示分析仪现在支持样品时钟作为JTAG时bob电子竞技俱乐部钟速度慢。该较低频率将采样时钟的范围增加到最小值的最小值为3倍的JTAG时钟速度。
  • Windows操作系统的USB驱动程序已通过Microsoft认证。
  • 通过用户的权限,Diamond现在可以通过Internet(仅)用户遇到的警告和错误消息来收集并发送到格子。此信息使格子能够改善用户体验。
  • Platform Designer具有“ASC同步”选项的“缓冲XO2输出”选项,该选项将LogiBuilder输出的一个注册延迟添加到MachXO2设备的引脚。此选项允许用户选择ASC和XO2输出信号是否出现在同一周期。
  • 平台设计器现在为平台管理器2和ASC设备提供了用户可配置的热插拔功能。
  • Synopsys Synplify Pro已更新至I-2013.09L版本
  • Aldec Active-HDL LE II已经更新到版本9.3

格子钻石3.0

  • 平台管理器2和配套ASbob电子竞技俱乐部C设备的新设备支持。
  • 新消息系统将从Implements引擎输出到报告视图中的消息。现在可以过滤这些消息并排序以允许用户更好地管理和理解消息。
  • Platform Designer是一种新工具,可提供使用平台管理器2或MachXO2设备创建和控制完整的硬件系统的功能。
  • 文件列表中的新选项以允许在项目中克隆实现。
  • 文件列表中现在支持系统Verilog文件,可以用于实现。bob电子竞技俱乐部在LSE、层次结构视图、HDL图、模拟向导或显示调试器中不bob电子竞技俱乐部支持系统Verilog文件。
  • 编程文件实用程序是一个新的独立的工具,让编程文件要查看和比较。
  • 型号300程序员是一种新的独立工具,支持晶格模型300程序员硬件。bob电子竞技俱乐部
  • 揭示调试器现在支持监控电源复位(POR)bob电子竞技俱乐部函数,它立即发生在硬件上的Power-On后立即发生。
  • 除了新的消息传递系统功能外,报表视图现在还包括一个Tcl命令日志。
  • 电子表格视图现在显示分类组中的端口和引脚的行。
  • Run Manager现在显示有关最坏情况稀释和时序分数的其他信息。
  • Synopsys Synplify Pro已更新为版本H-2013.03L
  • ALDEC Active-HDL LE II已更新至9.2SP1版本。

格子钻石2.2

  • 使用csBbob电子竞技俱乐部GA184包添加对machx2 - 4000he的支持。
  • 为Lattbob电子竞技俱乐部iceCP3-17和LatticeECP3-35 Automotive(Lae3)设备添加支持。
  • 在线帮助对原理图输入有更新的内容。
  • 部署工具现在,在独立模式下使用时支持为ICbob电子竞技俱乐部E40设备生成热启动和冷启动六角端文件。
  • IPexpress包括对Adder Tree, FFT_Butterfly, Multiply_Add_Sub和Sin-Cos_Table模块的更新。
  • 策略现在在Synplify Pro中包含了一个新的VHDL 2008支持选项。bob电子竞技俱乐部
  • LatticeMico系统包括一个新的预处理器选项,用于MachXO2的LatticeMico EFB,以优化代码大小。
  • 晶格合成引擎(LSE)合成工具已更新。
  • 用于晶格合成工具的Synopsys®Synplify Pro®已更新为G-2012.09L-SP1版本。
  • 从Aldec公司的Active-HDL莱迪思版II已更新为9.2sp1版本。

晶格钻石2.1

  • 格子钻石2.1现在可以作为RHEL 4,5和6的Linux 64位应用。
  • TRACE和Timing Analysis视图现在按类型对不受约束的路径进行分组。
  • TRACE和Timing Analysis视图报告BLOCK首选项覆盖的路径的详细信息。
  • 下载调试器是一个新的独立软件工具,用于调试串行矢量格式(SVF)文件,标准测试和编程语言(StaPL)文件,以及格子嵌入(VME)文件。调试器允许您编程设备,编辑,调试和跟踪SVF,StaPL和VME文件的过程。
  • 晶格钻石教程已经更新了额外的内容,现在支持ECP3 Versa开发委员会bob电子竞技俱乐部
  • 在线FPGA设计指南有一个新的章节,HDL编码指南,提供了VHDL和Verilog设计指南,以帮助您实现最佳效果。
  • 打开项目时,层次结构视图会自动打开。这允许自动显示设计的层次结构,防止困惑如何显示该信息。
  • IpExpress显示了通过显示支持,不支持和不兼容的版本的不同图标来显示具有钻石版本的IP模块的Compatiblity。bob电子竞技俱乐部
  • LatticeMico™系统包括许多组件的更新,包括LatticeMico32微处理器和LatticeMico8微控制器。有关详细信息,请参阅所包含的文档。此外,LatticeMico™系统允许生成没有处理器的平台,并有一个新的选项,以显著提高应用程序图像的下载速度。
  • 地点和路由(PAR)报告现在包括了每个地点和路由运行的最坏松弛值。这是所有时间限制中最糟糕的时间松弛。负值表示时间违规。您可以使用这个值来代替计时得分来判断一次运行的总体计时质量。
  • Multi-Seed参数运行(Multi-PAR)现在自动终止未显示已完成运行的改进结果的单个运行。这通常会导致总运行时的大量加速。
  • 钻石程序员已经更新了几个新的特点:
    • bob电子竞技俱乐部增加了对非晶格JTAG-ISC和JTAG-STAPL设备的支持。
    • 已经添加了自定义设备数据库,允许您将非lattice JTAG设备添加到设备数据库中。这允许程序员扫描这些设备。
    • 增加了电缆信号测试功能,允许调试JTAG连接。
    • 更新从SPI嵌入式,以支持通过FPGA的SPI Flash编程。bob电子竞技俱乐部
  • 电源计算器增加了几个新功能
    • Power Matrix页面显示了从多个电源设计的每个组件所拉的功率量。
    • 实现比较表比较了设计的多个实现中的功耗。
    • 低功耗设备的平均功率和热比较表显示了待机、满功率和关机模式下的平均功率随时间的估计。
    • 低功耗设备的功率感知比较图比较待机模式和非待机模式下使用的功率。
  • 显示Inserter现在解析混合Verilog和VHDL设计,并在RTL级别显示信号名称而不是网表(EDIF)级别。
  • 模拟向导已得到改进,允许您自动将顶级信号添加到波形中,然后运行模拟。
  • 除了进程视图中的JEDEC文件生成之外,现在还支持MachXO2的Bitstream文件生bob电子竞技俱乐部成。
  • Synopsys®SynplifyPro®用于晶格综合工具,版本G-2012.09L版本已更新。
  • 从Aldec公司的Active-HDL莱迪思版II已更新到9.2版本。

格子钻石2.0.1

  • Lattice Diamond 2.0.1移除对LatticeECPbob电子竞技俱乐部4设备的支持。
  • 钻石编程2.0.1可用于配置和程序莱迪思iCE40器件。目前所有的iCE40产品/包支持所有的编程模式(NVCM,SRAM配置和外部FLbob电子竞技俱乐部ASH编程)。
  • 现在,Suport为isplever经典和ICE设备已添加到钻石中的Aldec®Vist-HDL™格子版库中。因此,如果您需要模拟针对不同软件产品中可用的设备的多种设计(例如,XO,4Kze和ICE),请使用具有Diamond 2.0.1的Active-HDL版本。
  • 通过这次更新,Lattice Diamond改进了对LatticeECP3设计的时序模拟支持,该设计包括DDR2或DDR3内存接口bob电子竞技俱乐部。
  • 对原理图编辑器进行了改进,特别是改进了多页原理图的缩放,并帮助选择库组件。

晶格钻石2.0

  • 跟踪报告现在包括一个改进的、不受约束的路径部分,以便用户可以更快地识别和填补其设计约束中的空白。
  • 通过Diamond Programmer 2.0,用户可以直接在发布版中添加自己的SPI Flash设备,从而更快地支持这些设备。bob电子竞技俱乐部
  • Lattice Diamond Deployment Tool 2.0还提供了改进的功能,包括文件转换、外部内存文件生成、用于MachXO2设备的改进I2C嵌入式以及用于LatticeECP3和LatticeXP2设备的从SPI。
  • 独立功率估算器现已用于所有设备的功率估计(包括LatticeEcp3)。它不需要钻石安装;然而,它需要一个免费的格子钻石许可证。
  • 修改了FPGA设计指南。软件的启动页面提供并链接了两个部分:时间关闭和设计规划。
  • 为了提供从一个版本到另一个版本的一致的工具行为,策略现在包括并保存所有的值,而不仅仅是非默认值,因为默认值可以在不同的版本之间改变。以类似的方式,当将所有电子表格首选项导出到LPF时,将显式保存默认首选项。这种新方法可以帮助避免在升级到新版本时发现的一些意外更改。
  • 默认路由器现在是协商,基于路由器(NBR)。它提供了约20%到30%runtme改善了CDR,但它需要更多的CPU内存。
  • 针对LatticeECP3™和LatticeECP2 / M FPGA器件一种新的,基于分区的增量设计流程将有助于维护设计性能,减少运行时间设计更改后。
  • 除了32位应用程序的Windows XP和Vista, Lattice Diamond 2.0软件现在也作为64位应用程序提供的Windows 7,以增加内存容量,以支持更大的设备。bob电子竞技俱乐部对于Linux用户来说,除了Red Hat 5和4版本外,Lattice Diamond 2.0现在还可以运行在Red Hat 6上。
  • Synopsys®SynplifyPro®用于晶格综合工具,已更新,版本F-2012.03L。
  • Active-HDL Lattice Edition II从Aldec更新到9.1版本。

格子钻石1.4.2

  • 格子钻石1.4.2是格子钻石1.4的更新。在安装此更新之前,请安装格子钻石的1.4版。
  • Lattice Diamond 1.4.2解决了在Lattice Diamond 1.4中发现的一个问题,其中针对LatticeECP3低功耗FPGA (-6L、-7L或-8L)的设计可能无法显示与常规LatticeECP3 FPGA(非-L或-6、-7、-8)预期相同的计时性能。
  • 通过这次更新,添加了对256 Macbob电子竞技俱乐部hXO2设备的新32QFN包的支持,并删除了对MachXO2 WLCSP-49设备的支持。
  • IPexpress工具EFB模块图形用户界面(GUI)现在有Wishbone复选框,允许用户提供对所有MachXO2设备中的嵌入式闪存的访问,而不实例化不需要的接口,如I2C和SPI
  • 对于MachXO2,UFM / Configuration I2C从地址清楚地显示在IpExpress EFB模块I2C配置选项卡中以及在地图报告中。
  • 对于MachXO2,关键字MUX_CONFIGURATION_PORTS已添加到sysCONFIG首选项。该特性允许禁用所有Configuration端口,以提供额外的用户I/ o。它可以在Diamond的电子表格视图中设置为启用或禁用,或在逻辑首选项文件中手动设置。
  • 从Latticexp2和LatticeEcp2 / M器件中删除了正常主动操作期间的软错误检测(SED)。请参阅到Latticexp2,La-Latticexp2和LatticeEcp2 / M数据表的修订版的PCN 02B-12通知。当用户逻辑处于非活动状态时,SED功能仍可在编程设备上运行。

晶格钻石1.4

  • 格子钻石1.4软件提供最终的定时和功率分析设备信息,以及基于所有MachXO2设备的实际硅表征的最终生产包,比特流数据。
    • 除了将在稍后提供的LCMXO2-2000U的晶圆级芯片刻度包外,最终同步切换输出(SSO)数据可用于所有包装
    • 结果质量(QOR)与在目标上的大多数设计中获得的基础上获取的内容有关,如LCMXO2设备的大多数设计
  • 为了更快地结束计时,用户现在可以使用新的PAR策略设置“满足计时要求后立即停止”来让多个PAR在尝试了最大数量的种子或最后一次种子运行导致计时得分为零(以先出现的为准)后停止。
  • LSE用户现在可以在新的晶格设计约束图形编辑器中创建和编辑Synopsys Design Compiler(SDC)综合约束。此编辑器自动填充设计时钟,端口和网络名称,并提供实时语法和语义检查。它生成可与LSE一起使用的SDC文件。
  • 随此版本发布的是新的钻石部署工具。它使用直观的向导方法以用户部署方法所需的格式创建适当的设备编程文件。Diamond部署工具是一款独立的工具,可作为Lattice Diamond环境中的附件。
  • 使用格子钻石1.4,用户可以暂停,停止和恢复,每个种子都在运行管理器下运行的任何多个作业。它们可以将结果导出在CSV文件中,并且它们还可以将多个实现的运行报告并排进行比较,并轻松确定其设计的最佳实现。
  • 使用Run Manager,用户可以单独控制可以同时运行的实现和多par进程的最大数量。并行处理只支持单台具有多核CPU的计算机。bob电子竞技俱乐部目前不支持跨多台计算机的并行处理。bob电子竞技俱乐部
  • 格子钻石将帮助用户在同一设备系列内将其设计迁移到较低的成本设备,同时保留当前包装和电路板布局。现在,这种能力延伸到格子钻石软件支持的所有晶格设备系列。bob电子竞技俱乐部用户在包视图和电子表格视图中提供PIN迁移信息,例如不兼容的引脚。此引脚迁移信息也可以导出到PIN布局文件。
  • 晶格钻石1.4软件现在在层次结构视图中显示由设计层次结构的每个层次所使用的资源,要么是在合成步骤,要么是在地图步骤。因此,设备资源可以同时显示为逻辑(寄存器)和物理(片)元素。这个特性可以帮助用户快速了解他们的设计中哪些部分使用了稀缺的设备资源,以便他们能够针对目标设备优化设计。现在还可以将这些信息导出到文本或CSV文件中,以便在其他工具中进行分析。
  • 随着此次发布,引脚分配设计规则检查(DRC)引擎已被重新设计并实现,用于LatticeECP3™、MachXO2和LatticeSC™设备系列,在引脚分配和配置期间提供实时和按需的DRC,并提供用户友好的报告,有助于识别和纠正引脚使用问题。
  • 用户现在可以在电子表格视图的端口和/或引脚分配选项卡中插入无限数量的自定义列。用户可以使用这些列每端口或引脚注释/文档。可以通过拖放更改列的顺序。可以从PIN布局文件导出或导入信息。它不会包含在LPF文件中,不会影响处理。
  • 在此版本中显示的,令牌经理从显示插页移到显示仪。这允许在不重新插入调试和重置进程列表改变令牌。然而,它不允许令牌运行分析之前定义。
  • Synopsys®SynplifyPro®for晶格综合工具已更新,版本F-2011.09L版本,于2011年9月发布。
  • Aldec的Active-HDL晶格版II已更新至8.3SP1版本。

格子钻石1.3.1

  • Lattice Diamond 1.3.1是对Lattice Diamond 1.3的更新。在安装此更新之前,请安装1.3版的Lattice Diamond。
  • Lattice Diamond 1.3.1增加了对32bob电子竞技俱乐部8 csBGA 10x10mm, 0.5 mm间距线结合封装的支持,用于LatticeECP3 17K设备,具有工业和商业级配。
  • 在钻石1.3.1中揭示有改进,以解决三个问题:
    • 在使用Lattice Diamond 1.3的某些情况下,当使用3tus并将最大时序深度触发器表达式(TE)设置为4时,波形输出不正确。
    • 在某些情况下,当使用LatticeECP3分布式DP RAM时,Reveal会出现“电路有太大的数据宽度”的错误消息。
    • 恩斯特GUI有时会报告“捕获未知例外”。

晶格钻石1.3

  • Lattice Diamond 1.3软件提供更新的时序和功率分析设备信息,以及基于MachXO2 LCMXO2-1200和LCMXO2-1200U设备实际硅特性的最终生产包、位流和单点登录分析数据。
  • 这些变化,加上对合成、MAP和PAR实现引擎的持续改进,使得LCMXO2设备的大多数设计的FMax提高了5%至15%。
  • Lattice Diamond 1.3增加了对LCbob电子竞技俱乐部MXO2-2000U晶圆级封装的支持,这是非常高容量、成本敏感的应用程序所需要的。bobappios下载地址
  • Lattice Diamond 1.3软件为设计层次的每个逻辑层次提供了设备资源的利用,并使用户能够对如何构建他们的设计做出早期的设计决策,从而使他们能够优化整个设备的利用。
  • 设计人员可以在执行这些设计的静态时间分析时为其设计的时钟添加用户定义的时钟抖动。用户通过扩展到现有跟踪时序偏好的扩展控制时钟抖动量,并在跟踪报告和时序分析视图中看到分析结果。
  • 软件提供功能,以帮助将设计迁移到较低的成本设备,同时保留当前包和电路板布局。此功能可用于MachXO2和LatticeEcp3设备。用户在包视图和电子表格视图中获得不兼容的引脚信息。此引脚迁移信息也可以导出到PIN布局文件。
  • 项目现在可以支持复杂的多文件测试台bob电子竞技俱乐部,并允许对同一个设计块使用多个设计表示(例如用于合成和不同的用于模拟)。
  • 模拟向导可以自动确定应设置哪些文件以模拟并将正确的选项传递给模拟器。
  • 综合设计约束流允许对多个文件(SDC和/或LDC文件)进行类似于后端首选项文件(LPF文件)的管理。
  • 使用Reveal Analyzer,用户现在可以下载大量跟踪数据,并配置复杂的触发器设置,速度比以前快10倍以上。
  • 使用Diamond Programmer,用户可以以比ISPVM更轻松地将设备从钻石中编程到最常见的步骤,例如设置电缆,扫描电路板,直接编程设备。
  • 用户可以直接在运行管理器中选择活动实现,并且还可以控制哪一个多帧运行运行,以便设计流的其余部分可以集中在实现该设计中提供最佳放置和路由运行的实现。
  • 格子钻石1.3支持平台管理器设备bob电子竞技俱乐部
  • 用户可以使用PCB设计器和PCB设计器和/或第三方工具来管理,记录和导出有关Package Pin Out的信息和引脚分配交换。用户可以从钻石内获得设备的包装PIN信息

格子钻石1.2

  • Machxo2用户现在可以使用基于Latticemico8™开源8位控制器核心的Latticemico8™开源8位控制器核心生成完整的系统,使用基于开源Eclipse的Latticemico™系统。
  • 格子钻石1.2为所有超高I / O计数Machxo2™设备bob电子竞技俱乐部和非常大的体积成本敏感应用所需的晶片级封装增加了支持。bobappios下载地址
  • 格子钻石1.2软件现在包括基于MachXO2 PLD系列LCMXO2-1200和LCMXO2-1200U设备的实际硅表征的更新功率,时序和SSO分析值。
  • 揭示硬件调试器已通过这些MachXO2设备的实际硅验证。
  • 使用格子钻石1.2释放,用户现在可以根据每次流程子步骤量身定制流动以自动创建他们想要读取的报告。
  • Power Calculator得到了增强,因此它可以从VCD文件生成活动因子,并处理内部信号,而不仅仅是顶级端口。VCD文件需要门级,并与设计相匹配。
  • ECO编辑器现在支持用户闪存(bob电子竞技俱乐部UFM)初始化为MachXO2-640和更高密度的器件。
  • EBR和分布式内存初始化特性也得到了增强,包括用于指定初始化设置的“更新初始化内存”对话框。
  • 为简化用户界面并避免混淆,从过程视图的位置和路由阶段删除了I / O SSO(同时切换输出)分析,但仍然可以通过电子表格视图和包视图可用。
  • 逻辑块视图现在打开作为一个独立的视图与它自己的垂直工具栏。可以从平面视图、物理视图或NCD视图中的组件打开一个或多个逻辑块视图。
  • 四种预定义布局现在可以从窗口菜单中获得,用于常见的设计任务:分析RTL、输入参数、管理项目和时间分析。
  • 报告视图现在包括“生成层次结构”和“运行BKM检查”报告。这些报告使这些信息更容易找到并提供更详细的信息。以前,此信息仅在Output视图中可用。
  • IPexpress™包含了对现有模块的许多改进。
  • ispVM®系统软件已升级到18.0版本。
  • 用于晶格合成工具的Synopsys®Synplify Pro®已更新,版本为E-2010.09-SP2,于2010年12月发布。
  • 格子钻石1.2释放支持TCL 8.5。bob电子竞技俱乐部

格子钻石1.1

  • MachXO2设bob电子竞技俱乐部备家族的初始支持。
  • 晶格合成引擎(LSE)的介绍支持Machxo2和Machxo器件家庭。bob电子竞技俱乐部LSE是几年发展的结果,最初专注于格子的内部FPGA架构发展。LSE支bob电子竞技俱乐部持Verilog和VHDL语言,并使用SDC格式进行约束。It is integrated into the Lattice Diamond design software as a synthesis tool choice when a bob电子竞技俱乐部supported device family is selected.
  • 大型设计的性能改进导致最高可达20%的FMAX结果。
  • LatticeEcp3系列最终数据包含在此软件中,以进行定时,电源和SSO噪声。
  • 已为LSE支持和更新编译点定时添加了策略选项。bob电子竞技俱乐部
  • 平面图视图包括一个新的“显示拥塞”命令,该命令显示了一个PLC组件或站点的路由拥塞量的表示。
  • Power Calculator包括为MachXO2低功耗架构添加的新功能,包括Power Option Controller和嵌入式功能块的EFB页面。
  • 电子表格视图电子表格视图包含了对BLOCK首选项的增强。
  • Source Editor现在提供SDC模板用于VHDL和Verilog HDL文件编辑。
  • 定时分析视图具有重大性能改进,可重新计算路径延迟和更改默认速度等级设置。
  • IPExpress™包含对现有模块的许多改进,还包括专门用于MachXO2的新模块。
  • ISPVM®系统软件已升级到版本17.9。
  • Synopsys®SynplifyPro®用于晶格综合工具的更新,包括改进的行为HDL对ECP3 SYSDSP块级联功能的目标,导致更高的性能过滤器。
  • Aldec®Active-HDL™晶格版模拟器已更新。

格子钻石1.0

新软件介绍。以下区域的新功能介绍大大增加了软件功能和易于使用以前的软件设计环境。

  • 设计探索
    • 钻石的设计项目通过允许更强大的项目和允许设计探索的功能提供了更大的功能。钻石项目的重点改进包括以下内容。
      • 允许Verilog、VHDL、EDIF和原理图源的混合
      • 实现,允许在单个项目中设计的多个版本
      • 策略允许将实现“食谱”应用到项目内的任何实现或在项目之间共享
      • 管理和选择限制,时序分析,功率计算和硬件调试的文件
    • 使用运行管理器视图允许并行处理多个实现,以便探索最佳效果的设计替代方案。
    • 通过新的集成HDL代码检查能力分析您的设计,通过分析设计来节省时间。
  • 易于使用
    • Diamond用户界面结合了领先的特点和定制,同时提供更好的易用性。钻石中的所有工具现在在“视图”中打开,集成到一个共同的钻石用户界面,并有能力分离在单独的窗口。一旦掌握了单个工具视图的操作,这些知识就可以应用到其他视图。像开始页和报告视图这样的新功能允许方便地访问信息。
    • Eco Editor提供了快速访问常用的Netlist编辑功能,如Sysio设置,PLL参数和内存初始化。程序员允许使用ISPVM设置硬件配置后快速重新编程FPGA。完成工作更快是这些工具的目标
  • 更高效的设计流程
    • 新的时序分析视图提供了一个易于使用的图形环境,用于导航定时信息。更改时序约束时,定时分析视图中的关键新益处是迅速更新的分析。不再必须重新实现您的设计以重新运行跟踪报告。
    • Diamond通过新的模拟向导可以轻松导出模拟器的设计。
    • 钻石软件为脚本设计流程增加了新功能。钻石特定TCL命令词典可用于项目,网册,HDL代码检查,电源计算和硬件调试插入和分析。
与大多数网站一样,我们使用cookie和类似的技术来增强您的用户体验。我们还允许第三方在我们的网站上放置Cookie。通过继续使用本网站,您同意使用cookie,如我们所述饼干的政策