ispLEVER经典软件

用于Lattice cpld和成熟的可编程产品的设计环境。

isplever Classic是晶格CPLDS和成熟可编程产品的设计环境。它可用于通过设计过程完全采取格子设备设计,从概念到设备JEDEC或比特流编程文件输出。

跳转到

概述

当前版本为ispLEVER经典2.1发布于2020年12月30日。

Windows 7,Windows Vista或Windobob电子竞技俱乐部ws XP或操作系统支持ISPLEVER经典软件。

要设计与其他晶格FPGA家族,下载晶格的钻石iCEcube2软件您可以同时安装和运行Lattice Diamond、iCEcube2和ispLEVER Classic。

下载和安装ASPLEVER CLASSIC

按照以下三个步骤下载、安装和许可ispLEVER Classic。

步骤1 -下载

ispLEVER Classic由下列模块组成;ispLEVER经典基础模块安装(包括Synplify合成模块和Mentor ModelSim格版仿真)和ispLEVER经典FPGA模块安装。

使用此页面上的“下载”选项卡下载软件安装程序。

模块 设备支持/特性bob电子竞技俱乐部 订阅许可
isplever Classic 2.1基础模块:
这包括ispLEVER Project Navigator,以及为右边列出的任何可编程系列实现设计所需的所有工具和设备库。它还包括用于HDL合成的Synopsys®的Synplify™Pro合成工具的Lattice版本(I-2014.03LC),以及Mentor ModelSim Lattice版。
CPLD:
ISPMACH 4000ze / z / v / b / c
ispMACH 5000 vg
ispMACH 5000 b
ISPMACH 4A3 / 5
MACH4/5
ispXPLD 5000 mx
ispLSI 8000
isplsi 5000ve.
ispLSI 2000已经
isplsi 1000

复选标记
复选标记
复选标记
复选标记
复选标记
复选标记
复选标记
复选标记
复选标记
复选标记
SPLD:
加和ispGAL

gdx:
ispGDXVA
ispgdx2.
FPGA
ispXPGA

复选标记


复选标记
复选标记
复选标记
复选标记
isplever Classic 2.1 FPGA模块:
这个可选模块增加了对ORCA FPGA和FPSC设备bob电子竞技俱乐部的支持。
请注意,必须在FPGA模块之前安装基本模块。
FPGA:
虎鲸FPGA
虎鲸我国

复选标记
复选标记

步骤2 -安装每个ispLEVER经典模块

从isplever Classic基础模块开始,解压缩下载的文件,然后双击提取的文件并开始安装过程。您还可以从此页面上的“文档”选项卡下载“安装指南”,然后阅读其更详细的说明和选项。

对于Windows 10- 下载并安装服务包启用Windows 10支持。bob电子竞技俱乐部请按照安装下载文件中的readme.txt文件中的安装说明进行安装。

许可

步骤3 -购买/更新ispLEVER经典许可证

isplever经典许可使用户能够为CPLD和遗留设备设计和优化解决方案。

要购买ispLEVER Classic许可证,请访问在线商店或联系本地销售代表或经销商

如果您已经购买了软件许可证并收到了软件序列号,请访问我们的订阅许可形式

版本历史记录

ispLEVER经典2.1

  • 用Mentor®ModelSim®Lattice FPGA版本替换Aldec™Active-HDL™。Active-HDL Lattice Edition仍然支持,但不包括在bob电子竞技俱乐部内。

ispLEVER经典2.0

格子综合发动机(LSE)

  • bob电子竞技俱乐部支持Mach4000 CPLD家族。在默认情况下,针对这些家族的新项目将选择LSE作为合成工具。现有项目将继续使用该项目以前使用的合成工具。对于Mach4000 CPLD,用户可以在LSE和Synopsys Synplify Pro之间进行切换。

Aldec活动hdl模拟-更新版本到10.1

软件下载和文档

快速参考
技术资源
信息资源
下载
标题 数量 版本 日期 格式 尺寸
为OrCAD捕获生成原理图符号
AN8075 9/1/2006 PDF. 554.9 KB
ispXPGA器件的功率估计(电子表格文件)
这个.zip包含TN1043中引用的电子表格
TN1043 5/1/2004 邮政编码 31.8 KB
ISPXPGA设备中的功率估计
请注意,带内置公式的电子表格也可用于TN1043,可在此页面上下载。
TN1043 1/1/2004 PDF. 447.1 KB
标题 数量 版本 日期 格式 尺寸
isplever Classic 2.1安装指南
2.1 12/30/2020 PDF. 539.8 KB
标题 数量 版本 日期 格式 尺寸
abel设计手册
(isplever 4.x,5.x,6.x,classic)
3/1/2003 PDF. 606.2 KB
ABEL-HDL参考手册
(isplever 4.x,5.x,6.x,classic)
3/1/2003 PDF. 1.4 MB
LSE for ispLEVER经典用户指南
1.0 6/16/2015 PDF. 245.7 KB
ispLSI宏库参考手册
包含ISPLEVER中可用的原理图宏的功能和引脚说明。(isplever 4.x,5.x,6.x,classic)
8/1/2000 PDF. 3.3 MB.
FPGA物理设计规则检查(DRC)台参考
包含在ISPlever项目导航器中运行FPGA设计时可能遇到的设计规则检查警告和错误消息的说明。(isplever 4.x,5.x,6.x,7.x,8.x)
8.0 11/10/2009 PDF. 102.9 KB.
晶格FPGA器件模拟设计
本文档解释了如何使用Synopsys VCS®,Cadence®NCVerilog®,Cadence NC-VHDL®,Aldec Riviera Pro®和active hdl®软件来模拟目标Lattice Semiconductor fpga的设计。bob投注软件(ispLEVER 6。7. x, x)
6/15/2007 PDF. 111.5 KB
通用宏库参考指南
包含ispLEVER Classic中可用的原理图“通用”宏的函数和引脚描述。宏兼容ispMACH 4000 Family cpld。
3/5/2018 PDF. 461.7 KB
示意图参考手册
(isplever经典)
11/24/2004 PDF. 698 KB.
FPGA设计指南
包括有关如何使用isplever工具设计格子FPGA的全面说明。(isplever 8.0)
8.0 11/10/2009 PDF. 2.5 MB
标题 数量 版本 日期 格式 尺寸
PCN10A-11通知的意图冻结是在8.2版之后
转换
PCN10A-11 1.0 2011年7月27日 PDF. 52.7 KB.
标题 数量 版本 日期 格式 尺寸
Lattice OrCAD捕获原理图库(OLB)
该文件包含用于所有Lattice产品的OrCAD捕获原理图库(OLB文件类型)。这个.zip文件还包括一个.xls工作表,其中包含OLB的内容列表。这些符号可以用于帮助OrCAD原理图设计。
7.0 2/1/2021 邮政编码 3.2 MB.
标题 数量 版本 日期 格式 尺寸
基于LeonardoSpectrum的HDL综合设计:CPLD流程
如何使用LeonardoSpectrum合成一个用于Lattice CPLD器件的Verilog设计。教程主题/工具:逻辑合成,ispLEVER, LeonardoSpectrum。(ispLEVER 4。x, 5。x, 6。x)
5/1/2005 PDF. 313 KB
用Synplify进行HDL综合设计:CPLD流程
如何使用Synplify合成一个晶格CPLD器件的VHDL设计。教程主题/工具:逻辑合成,ispLEVER, Synplify (ispLEVER 6.x)
5/1/2005 PDF. 446.1 KB
HDL综合设计与LeonardoSpectrum: ispXPGA流程
如何使用LeonardoSpectrum合成Verilog设计用于Lattice ispXPGA器件。教程主题/工具:逻辑合成,ispLEVER, LeonardoSpectrum。(ispLEVER 4。x, 5。x, 6。x)
5/1/2005 PDF. 363.7 KB
HDL合成设计具有精密RTL:CPLD流
本教程向您展示如何在ispLEVER中使用Mentor Graphics Precision RTL Synthesis来合成Verilog设计并为Lattice CPLD设备生成EDIF文件。教程主题/工具:逻辑综合,ispLEVER,精度RTL
5/1/2006 PDF. 263.8 KB
HDL综合设计具有同步:ISPXPGA流程
如何使用synplify来扫描晶格ispxpga设备的VHDL设计。教程主题/工具:逻辑综合,isplever,synplify。
5/1/2005 PDF. 509.1 KB
合成数据流程教程
本教程向您展示如何使用Synplicity Synplify®Pro for Lattice与ispLEVER®合成Verilog HDL设计,并为Lattice FPGA设备生成EDIF文件。教程主题/工具:FPGA逻辑合成,ispLEVER, Synplify。
12/15/2008 PDF. 314.3 KB.
使用ISPXPGA FloorPlanner
如何使用Floorplanner在针对ispXPGA设备的设计中定位元素、进行引脚和块分配以及检查定时延迟。教程主题/工具:设计规划,ispLEVER,地板规划。(isplever 4.x,5.x,6.x,classic)
5/1/2005 PDF. 515.7 KB
ABEL-HDL设计原理图
如何设计、模拟、实现和验证针对CPLD器件的计数器电路。该设计使用了顶层原理图和两个底层ABEL-HDL模块。教程主题/工具:CPLD原理图和HDL设计入口,CPLD装配,ispLEVER
5/1/2006 PDF. 2 MB.
LSE for ispLEVER Classic 2.0教程
1.0 6/16/2015 PDF. 372.7 KB
标题 数量 版本 日期 格式 尺寸
ispLEVER Classic 2.1 Service Pack for Windows 10
1.0 12/30/2020 邮政编码 18.1 MB
ispLEVER Classic 2.1 FPGA模块
2.1 12/30/2020 邮政编码 350.8 MB.
ispLEVER Classic 2.1基本模块
2.1 12/30/2020 邮政编码 786.8 MB
活动hdl模拟库的ispLEVER经典设备
如果您希望将ispLEVER Classic与Active-HDL Lattice Edition一起使用,请使用这些库。
2011年10月19日 邮政编码 81.6 MB
Paltogal V3 12.
将PAL JEDEC文件翻译为GAL JEDEC格式。
5/24/2001 邮政编码 35.4 KB

*单击“通知”按钮“通知”按钮,您同意接收关于所选文档的更改的通知。

与大多数网站一样,我们使用cookie和类似的技术来增强您的用户体验。我们还允许第三方在我们的网站上放置Cookie。通过继续使用本网站,您同意使用cookie,如我们所述饼干的政策